From 1f804c7e36f73ecf6c9e64b8fcbafd105b77a120 Mon Sep 17 00:00:00 2001 From: beeanyew Date: Mon, 8 Mar 2021 15:53:23 +0100 Subject: [PATCH] [WIP] IRQ experiments, revert Musashi speed hax for now --- emulator.c | 138 +- gpio/gpio_old.c | 22 +- gpio/gpio_old.h | 2 +- m68kconf.h | 4 +- m68kcpu.c | 20 + m68kcpu.h | 1 - platforms/amiga/amiga-registers.h | 5 + rtl/bitstream.svf | 3600 ++++++++++++++--------------- 8 files changed, 1939 insertions(+), 1853 deletions(-) diff --git a/emulator.c b/emulator.c index b4ca6b0..b1ac4bc 100644 --- a/emulator.c +++ b/emulator.c @@ -54,7 +54,7 @@ extern volatile unsigned int *gpio; extern volatile uint16_t srdata; extern uint8_t realtime_graphics_debug; uint8_t realtime_disassembly, int2_enabled = 0; -uint32_t do_disasm = 0; +uint32_t do_disasm = 0, old_level; char disasm_buf[4096]; @@ -66,39 +66,92 @@ int mem_fd_gpclk; int irq; int gayleirq; +//#define MUSASHI_HAX + +#ifdef MUSASHI_HAX +#include "m68kcpu.h" +extern m68ki_cpu_core m68ki_cpu; +extern int m68ki_initial_cycles; +extern int m68ki_remaining_cycles; + +#define M68K_SET_IRQ(i) old_level = CPU_INT_LEVEL; \ + CPU_INT_LEVEL = (i << 8); \ + if(old_level != 0x0700 && CPU_INT_LEVEL == 0x0700) \ + m68ki_cpu.nmi_pending = TRUE; +#define M68K_END_TIMESLICE m68ki_initial_cycles = GET_CYCLES(); \ + SET_CYCLES(0); +#else +#define M68K_SET_IRQ m68k_set_irq +#define M68K_END_TIMESLICE m68k_end_timeslice() +#endif + +#define NOP asm("nop"); asm("nop"); asm("nop"); asm("nop"); + +#define DEBUG_EMULATOR +#ifdef DEBUG_EMULATOR +#define DEBUG printf +#else +#define DEBUG(...) +#endif + // Configurable emulator options unsigned int cpu_type = M68K_CPU_TYPE_68000; -unsigned int loop_cycles = 300; +unsigned int loop_cycles = 300, irq_status = 0; struct emulator_config *cfg = NULL; char keyboard_file[256] = "/dev/input/event1"; +uint64_t trig_irq = 0, serv_irq = 0; +uint16_t irq_delay = 0; + void *iplThread(void *args) { printf("IPL thread running\n"); + uint16_t old_irq = 0; + uint32_t value; while (1) { - if (!gpio_get_irq()) { + value = *(gpio + 13); + + if (!(value & (1 << PIN_IPL_ZERO))) { irq = 1; - m68k_end_timeslice(); + old_irq = irq_delay; + NOP + M68K_END_TIMESLICE; + } + else { + if (irq) { + if (old_irq) { + old_irq--; + } + else { + irq = 0; + } + NOP + M68K_END_TIMESLICE; + } } - else - irq = 0; if (gayle_ide_enabled) { if (((gayle_int & 0x80) || gayle_a4k_int) && (get_ide(0)->drive[0].intrq || get_ide(0)->drive[1].intrq)) { //get_ide(0)->drive[0].intrq = 0; gayleirq = 1; - m68k_end_timeslice(); + M68K_END_TIMESLICE; } else gayleirq = 0; } - usleep(0); + //usleep(0); + NOP NOP NOP NOP NOP NOP NOP NOP + NOP NOP NOP NOP NOP NOP NOP NOP + NOP NOP NOP NOP NOP NOP NOP NOP + /*NOP NOP NOP NOP NOP NOP NOP NOP + NOP NOP NOP NOP NOP NOP NOP NOP + NOP NOP NOP NOP NOP NOP NOP NOP*/ } return args; } void stop_cpu_emulation(uint8_t disasm_cur) { - m68k_end_timeslice(); + M68K_END_TIMESLICE; if (disasm_cur) { m68k_disassemble(disasm_buf, m68k_get_reg(NULL, M68K_REG_PC), cpu_type); printf("REGA: 0:$%.8X 1:$%.8X 2:$%.8X 3:$%.8X 4:$%.8X 5:$%.8X 6:$%.8X 7:$%.8X\n", m68k_get_reg(NULL, M68K_REG_A0), m68k_get_reg(NULL, M68K_REG_A1), m68k_get_reg(NULL, M68K_REG_A2), m68k_get_reg(NULL, M68K_REG_A3), \ @@ -113,7 +166,7 @@ void stop_cpu_emulation(uint8_t disasm_cur) { do_disasm = 0; } -int ovl; +unsigned int ovl; static volatile unsigned char maprom; void sigint_handler(int sig_num) { @@ -131,12 +184,20 @@ void sigint_handler(int sig_num) { cfg->platform->shutdown(cfg); } + printf("IRQs triggered: %lld\n", trig_irq); + printf("IRQs serviced: %lld\n", serv_irq); + exit(0); } int main(int argc, char *argv[]) { int g; - const struct sched_param priority = {99}; + //const struct sched_param priority = {99}; + + if (argc > 1) { + irq_delay = atoi(argv[1]); + printf("Setting IRQ delay to %d loops (%s).\n", irq_delay, argv[1]); + } // Some command line switch stuffles for (g = 1; g < argc; g++) { @@ -248,6 +309,7 @@ int main(int argc, char *argv[]) { cpu_pulse_reset(); char c = 0, c_code = 0, c_type = 0; + uint32_t last_irq = 0, last_last_irq = 0; pthread_t id; int err; @@ -280,15 +342,29 @@ int main(int argc, char *argv[]) { } if (irq) { - unsigned int status = read_reg(); - m68k_set_irq((status & 0xe000) >> 13); - } - else if (gayleirq && int2_enabled) { - write16(0xdff09c, 0x8000 | (1 << 3)); - m68k_set_irq(2); + while (irq) { + last_irq = ((read_reg() & 0xe000) >> 13); + if (last_irq != last_last_irq) { + last_last_irq = last_irq; + M68K_SET_IRQ(last_irq); + } + m68k_execute(5); + } + if (gayleirq && int2_enabled) { + write16(0xdff09c, 0x8000 | (1 << 3) && last_irq != 2); + last_last_irq = last_irq; + last_irq = 2; + M68K_SET_IRQ(2); + } + M68K_SET_IRQ(0); + last_last_irq = 0; } /*else { - m68k_set_irq(0); + if (last_irq != 0) { + M68K_SET_IRQ(0); + last_last_irq = last_irq; + last_irq = 0; + } }*/ while (get_key_char(&c, &c_code, &c_type)) { @@ -317,8 +393,9 @@ int main(int argc, char *argv[]) { printf("unknown.\n"); break; }*/ - if (queue_keypress(c_code, c_type, cfg->platform->id) && int2_enabled) { - m68k_set_irq(2); + if (queue_keypress(c_code, c_type, cfg->platform->id) && int2_enabled && last_irq != 2) { + //last_irq = 0; + //M68K_SET_IRQ(2); } } } @@ -390,6 +467,8 @@ void cpu_pulse_reset(void) { if (cfg->platform->handle_reset) cfg->platform->handle_reset(cfg); + + m68k_write_memory_16(INTENA, 0x7FFF); ovl = 1; m68k_write_memory_8(0xbfe201, 0x0001); // AMIGA OVL m68k_write_memory_8(0xbfe001, 0x0001); // AMIGA OVL high (ROM@0x0) @@ -445,7 +524,7 @@ unsigned int m68k_read_memory_8(unsigned int address) { printf("BYTE read from DMAC @%.8X:", address); uint32_t v = cdtv_dmac_read(address & 0xFFFF, OP_TYPE_BYTE); printf("%.2X\n", v); - m68k_end_timeslice(); + M68K_END_TIMESLICE; cpu_emulation_running = 0; return v; }*/ @@ -478,19 +557,20 @@ unsigned int m68k_read_memory_8(unsigned int address) { send_keypress = 1; } if (send_keypress == 2) { - result |= 0x02; + //result |= 0x02; send_keypress = 0; } return result; } if (address == CIAADAT) { - if (send_keypress) { + //if (send_keypress) { uint8_t c = 0, t = 0; pop_queued_key(&c, &t); t ^= 0x01; result = ((c << 1) | t) ^ 0xFF; send_keypress = 2; - } + //M68K_SET_IRQ(0); + //} return result; } } @@ -509,7 +589,7 @@ unsigned int m68k_read_memory_16(unsigned int address) { printf("WORD read from DMAC @%.8X:", address); uint32_t v = cdtv_dmac_read(address & 0xFFFF, OP_TYPE_WORD); printf("%.2X\n", v); - m68k_end_timeslice(); + M68K_END_TIMESLICE; cpu_emulation_running = 0; return v; }*/ @@ -558,7 +638,7 @@ unsigned int m68k_read_memory_32(unsigned int address) { printf("LONGWORD read from DMAC @%.8X:", address); uint32_t v = cdtv_dmac_read(address & 0xFFFF, OP_TYPE_LONGWORD); printf("%.2X\n", v); - m68k_end_timeslice(); + M68K_END_TIMESLICE; cpu_emulation_running = 0; return v; }*/ @@ -611,7 +691,7 @@ void m68k_write_memory_8(unsigned int address, unsigned int value) { /*if (address >= 0xE90000 && address < 0xF00000) { printf("BYTE write to DMAC @%.8X: %.2X\n", address, value); cdtv_dmac_write(address & 0xFFFF, value, OP_TYPE_BYTE); - m68k_end_timeslice(); + M68K_END_TIMESLICE; cpu_emulation_running = 0; return; }*/ @@ -636,7 +716,7 @@ void m68k_write_memory_16(unsigned int address, unsigned int value) { /*if (address >= 0xE90000 && address < 0xF00000) { printf("WORD write to DMAC @%.8X: %.4X\n", address, value); cdtv_dmac_write(address & 0xFFFF, value, OP_TYPE_WORD); - m68k_end_timeslice(); + M68K_END_TIMESLICE; cpu_emulation_running = 0; return; }*/ @@ -672,7 +752,7 @@ void m68k_write_memory_32(unsigned int address, unsigned int value) { /*if (address >= 0xE90000 && address < 0xF00000) { printf("LONGWORD write to DMAC @%.8X: %.8X\n", address, value); cdtv_dmac_write(address & 0xFFFF, value, OP_TYPE_LONGWORD); - m68k_end_timeslice(); + M68K_END_TIMESLICE; cpu_emulation_running = 0; return; }*/ diff --git a/gpio/gpio_old.c b/gpio/gpio_old.c index ed388c4..9ea5ef3 100644 --- a/gpio/gpio_old.c +++ b/gpio/gpio_old.c @@ -10,7 +10,7 @@ #include "../m68k.h" #include "../platforms/amiga/Gayle.h" #include "../platforms/amiga/gayle-ide/ide.h" -#include "gpio.h" +#include "gpio_old.h" // I/O access volatile unsigned int *gpio; @@ -28,6 +28,7 @@ volatile uint32_t srdata2_old; extern int mem_fd, mouse_fd, keyboard_fd; extern int mem_fd_gpclk; +extern uint8_t gayle_int; void *gpio_map; void *gpclk_map; @@ -389,22 +390,3 @@ inline void gpio_handle_irq() { inline int gpio_get_irq() { return (GET_GPIO(1)); } - - -/* -void *iplThread(void *args) { - printf("IPL thread running/n"); - - while (42) { - - if (GET_GPIO(1) == 0) { - toggle = 1; - m68k_end_timeslice(); - //printf("thread!/n"); - } else { - toggle = 0; - }; - usleep(1); - } -} -*/ diff --git a/gpio/gpio_old.h b/gpio/gpio_old.h index 79e7359..1f59502 100644 --- a/gpio/gpio_old.h +++ b/gpio/gpio_old.h @@ -75,7 +75,7 @@ } \ else \ m68k_set_irq(0); \ - }; \ + }; void setup_io(); void gpio_enable_200mhz(); diff --git a/m68kconf.h b/m68kconf.h index bcfcb13..26ee063 100644 --- a/m68kconf.h +++ b/m68kconf.h @@ -75,7 +75,7 @@ * and m68k_read_pcrelative_xx() for PC-relative addressing. * If off, all read requests from the CPU will be redirected to m68k_read_xx() */ -#define M68K_SEPARATE_READS OPT_ON +#define M68K_SEPARATE_READS OPT_OFF /* If ON, the CPU will call m68k_write_32_pd() when it executes move.l with a * predecrement destination EA mode instead of m68k_write_32(). @@ -166,7 +166,7 @@ /* If ON, the CPU will emulate the 4-byte prefetch queue of a real 68000 */ -#define M68K_EMULATE_PREFETCH OPT_OFF +#define M68K_EMULATE_PREFETCH OPT_ON /* If ON, the CPU will generate address error exceptions if it tries to diff --git a/m68kcpu.c b/m68kcpu.c index ecdf4dd..dd79593 100644 --- a/m68kcpu.c +++ b/m68kcpu.c @@ -976,7 +976,9 @@ int m68k_execute(int num_cycles) /* Main loop. Keep going until we run out of clock cycles */ do { +#ifdef M68K_BUSERR_THING int i; +#endif /* Set tracing accodring to T1. (T0 is done inside instruction) */ m68ki_trace_t1(); /* auto-disable (see m68kcpu.h) */ @@ -1173,11 +1175,28 @@ void m68k_set_context(void* src) if(src) m68ki_cpu = *(m68ki_cpu_core*)src; } +#if M68K_SEPARATE_READS /* Read data immediately following the PC */ inline unsigned int m68k_read_immediate_16(unsigned int address) { +#if M68K_EMULATE_PREFETCH == OPT_ON + for (int i = 0; i < read_ranges; i++) { + if(address >= read_addr[i] && address < read_upper[i]) { + return be16toh(((unsigned short *)(read_data[i] + (address - read_addr[i])))[0]); + } + } +#endif + return m68k_read_memory_16(address); } inline unsigned int m68k_read_immediate_32(unsigned int address) { +#if M68K_EMULATE_PREFETCH == OPT_ON + for (int i = 0; i < read_ranges; i++) { + if(address >= read_addr[i] && address < read_upper[i]) { + return be32toh(((unsigned int *)(read_data[i] + (address - read_addr[i])))[0]); + } + } +#endif + return m68k_read_memory_32(address); } @@ -1209,6 +1228,7 @@ inline unsigned int m68k_read_pcrelative_32(unsigned int address) { return m68k_read_memory_32(address); } +#endif void m68k_add_ram_range(uint32_t addr, uint32_t upper, unsigned char *ptr) { diff --git a/m68kcpu.h b/m68kcpu.h index e1035c1..ab47308 100644 --- a/m68kcpu.h +++ b/m68kcpu.h @@ -1107,7 +1107,6 @@ static inline uint m68ki_read_imm_32(void) #if M68K_EMULATE_PREFETCH uint temp_val; - uint32_t address = ADDRESS_68K(CPU_PREF_ADDR); m68ki_set_fc(FLAG_S | FUNCTION_CODE_USER_PROGRAM); /* auto-disable (see m68kcpu.h) */ m68ki_check_address_error(REG_PC, MODE_READ, FLAG_S | FUNCTION_CODE_USER_PROGRAM); /* auto-disable (see m68kcpu.h) */ diff --git a/platforms/amiga/amiga-registers.h b/platforms/amiga/amiga-registers.h index 635676e..8da0bc2 100644 --- a/platforms/amiga/amiga-registers.h +++ b/platforms/amiga/amiga-registers.h @@ -22,6 +22,11 @@ void adjust_gayle_1200(); #define GARY_REG4 0xDE1001 #define GARY_REG5 0xDE1002 +#define INTENAR 0xDFF01C +#define INTREQR 0xDFF01E +#define INTENA 0xDFF09A +#define INTREQ 0xDFF09C + #define JOY0DAT 0xDFF00A #define JOY1DAT 0xDFF00C #define CIAAPRA 0xBFE001 diff --git a/rtl/bitstream.svf b/rtl/bitstream.svf index a815d23..6b508e2 100644 --- a/rtl/bitstream.svf +++ b/rtl/bitstream.svf @@ -15,11 +15,11 @@ ! !Quartus Prime SVF converter 20.1 ! -!Device #1: EPM570 - output_files/pistorm.pof Thu Feb 04 12:10:15 2021 +!Device #1: EPM570 - output_files/pistorm.pof Sun Feb 21 11:49:40 2021 ! -!NOTE "USERCODE" "00338324"; +!NOTE "USERCODE" "003373BC"; ! -!NOTE "CHECKSUM" "00338362"; +!NOTE "CHECKSUM" "003373EC"; ! ! ! @@ -171,7 +171,7 @@ SDR 16 TDI (EFFD); RUNTEST 10 TCK; SDR 16 TDI (7BBB); RUNTEST 10 TCK; -SDR 16 TDI (FFF2); +SDR 16 TDI (FFF3); RUNTEST 10 TCK; SDR 16 TDI (BEFF); RUNTEST 10 TCK; @@ -203,7 +203,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFE); RUNTEST 10 TCK; SDR 16 TDI (B9FF); RUNTEST 10 TCK; @@ -213,9 +213,9 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFA); +SDR 16 TDI (BFFD); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -239,7 +239,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFE7); RUNTEST 10 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -277,7 +277,7 @@ SDR 16 TDI (7EFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFE); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -287,11 +287,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FDFD); +SDR 16 TDI (FEFE); RUNTEST 10 TCK; -SDR 16 TDI (7FFD); +SDR 16 TDI (7FFB); RUNTEST 10 TCK; -SDR 16 TDI (DDFF); +SDR 16 TDI (BBFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -301,9 +301,9 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (B6FF); +SDR 16 TDI (B9FF); RUNTEST 10 TCK; -SDR 16 TDI (DDBF); +SDR 16 TDI (EE6F); RUNTEST 10 TCK; SDR 16 TDI (7EFF); RUNTEST 10 TCK; @@ -311,7 +311,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFD); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -321,7 +321,7 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FEF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -335,15 +335,15 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFEF); RUNTEST 10 TCK; SDR 16 TDI (7EFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFBF); +SDR 16 TDI (BDFE); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -355,7 +355,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (DFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -363,11 +363,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFB); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BDFF); +SDR 16 TDI (B7FF); RUNTEST 10 TCK; -SDR 16 TDI (FBFF); +SDR 16 TDI (FFBF); RUNTEST 10 TCK; SDR 16 TDI (7EFF); RUNTEST 10 TCK; @@ -387,7 +387,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (DFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -395,19 +395,19 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFB); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BEFF); +SDR 16 TDI (BBFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FF9F); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFBF); +SDR 16 TDI (BBFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -417,7 +417,7 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FEF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -431,7 +431,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FBFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -439,7 +439,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -457,7 +457,7 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7DFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -467,11 +467,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (DEBF); +SDR 16 TDI (FFBF); RUNTEST 10 TCK; -SDR 16 TDI (BFFD); +SDR 16 TDI (BDFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -501,7 +501,7 @@ SDR 16 TDI (7EFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFEF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -509,7 +509,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (B7FF); +SDR 16 TDI (BFF7); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -531,11 +531,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7EFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FF7F); RUNTEST 10 TCK; -SDR 16 TDI (BFF7); +SDR 16 TDI (BDFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -553,7 +553,7 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7DFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -563,9 +563,9 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (DD7F); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFD); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -599,13 +599,13 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BBFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -637,7 +637,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (B7FF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -663,7 +663,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -701,7 +701,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (A55F); +SDR 16 TDI (AD5F); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -727,13 +727,13 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFF4); +SDR 16 TDI (FFF5); RUNTEST 10 TCK; SDR 16 TDI (7BFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (AFFF); +SDR 16 TDI (A7FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -759,7 +759,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFE); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -1021,7 +1021,7 @@ SDR 16 TDI (7BFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (AFFF); +SDR 16 TDI (A7FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -1047,13 +1047,13 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (AFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFE); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (B55F); +SDR 16 TDI (BD5F); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -1079,7 +1079,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFF4); +SDR 16 TDI (FFF5); RUNTEST 10 TCK; SDR 16 TDI (7BFF); RUNTEST 10 TCK; @@ -1117,7 +1117,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BBBF); +SDR 16 TDI (B3BF); RUNTEST 10 TCK; SDR 16 TDI (3333); RUNTEST 10 TCK; @@ -1143,13 +1143,13 @@ SDR 16 TDI (CCC7); RUNTEST 10 TCK; SDR 16 TDI (B999); RUNTEST 10 TCK; -SDR 16 TDI (99FF); +SDR 16 TDI (99DF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BBFF); RUNTEST 10 TCK; SDR 16 TDI (7777); RUNTEST 10 TCK; @@ -1207,7 +1207,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (B7FF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -1219,7 +1219,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -1231,7 +1231,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FDFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -1283,7 +1283,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -1327,7 +1327,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FEFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -1365,7 +1365,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFEB); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -1461,7 +1461,7 @@ SDR 16 TDI (7FFD); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFF5); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -2261,7 +2261,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFB); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -2357,7 +2357,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFD); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -2391,7 +2391,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -2455,7 +2455,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -2521,7 +2521,7 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFF5); RUNTEST 10 TCK; -SDR 16 TDI (65FF); +SDR 16 TDI (6DFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -2553,7 +2553,7 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7DFF); +SDR 16 TDI (75FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -2935,7 +2935,7 @@ SDR 16 TDI (CCC7); RUNTEST 10 TCK; SDR 16 TDI (B999); RUNTEST 10 TCK; -SDR 16 TDI (99FE); +SDR 16 TDI (99DE); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -2999,7 +2999,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (B7FF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -3059,11 +3059,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FBFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BEFE); RUNTEST 10 TCK; -SDR 16 TDI (FFF7); +SDR 16 TDI (EFF7); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -3149,17 +3149,17 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BBFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FBF); RUNTEST 10 TCK; -SDR 16 TDI (FEFF); +SDR 16 TDI (FBFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BBFF); RUNTEST 10 TCK; -SDR 16 TDI (FFBB); +SDR 16 TDI (FEEF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -3185,13 +3185,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7EFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFD); RUNTEST 10 TCK; -SDR 16 TDI (DFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -3219,7 +3219,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7E7F); RUNTEST 10 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (F7FF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -3245,17 +3245,17 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BBFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FEFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BDFB); RUNTEST 10 TCK; -SDR 16 TDI (DFFF); +SDR 16 TDI (FF7F); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -3281,13 +3281,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7EFF); +SDR 16 TDI (7EBF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFF7); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFEF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -3315,7 +3315,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7EFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FEDF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -3345,9 +3345,9 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7F7F); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -3379,11 +3379,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FDFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFEF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFEF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -3413,7 +3413,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFD); RUNTEST 10 TCK; SDR 16 TDI (FFF5); RUNTEST 10 TCK; @@ -3445,7 +3445,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFAF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -3475,11 +3475,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FF5F); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BDFF); RUNTEST 10 TCK; -SDR 16 TDI (FFF5); +SDR 16 TDI (DFF5); RUNTEST 10 TCK; SDR 16 TDI (6BFF); RUNTEST 10 TCK; @@ -3507,11 +3507,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FDDF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFF3); RUNTEST 10 TCK; -SDR 16 TDI (FFEA); +SDR 16 TDI (7BEA); RUNTEST 10 TCK; SDR 16 TDI (77FF); RUNTEST 10 TCK; @@ -3541,7 +3541,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFDF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -3571,7 +3571,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFE); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -3603,9 +3603,9 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFE); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BDBD); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -3637,11 +3637,11 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFC6); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (67FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -3667,11 +3667,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FE8F); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFB); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DBFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -3699,11 +3699,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FC1F); RUNTEST 10 TCK; -SDR 16 TDI (B7FF); +SDR 16 TDI (B000); RUNTEST 10 TCK; -SDR 16 TDI (FFF4); +SDR 16 TDI (01F5); RUNTEST 10 TCK; SDR 16 TDI (79FF); RUNTEST 10 TCK; @@ -3731,11 +3731,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FC1D); RUNTEST 10 TCK; -SDR 16 TDI (AFFF); +SDR 16 TDI (B800); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (01FF); RUNTEST 10 TCK; SDR 16 TDI (7DFF); RUNTEST 10 TCK; @@ -3763,11 +3763,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FC0F); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (B808); RUNTEST 10 TCK; -SDR 16 TDI (FFF5); +SDR 16 TDI (81F4); RUNTEST 10 TCK; SDR 16 TDI (7BFF); RUNTEST 10 TCK; @@ -3795,11 +3795,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FC0F); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (B800); RUNTEST 10 TCK; -SDR 16 TDI (FFBF); +SDR 16 TDI (81FF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -3827,11 +3827,11 @@ SDR 16 TDI (3333); RUNTEST 10 TCK; SDR 16 TDI (67CC); RUNTEST 10 TCK; -SDR 16 TDI (CCC7); +SDR 16 TDI (CEC7); RUNTEST 10 TCK; -SDR 16 TDI (B999); +SDR 16 TDI (BB91); RUNTEST 10 TCK; -SDR 16 TDI (99EB); +SDR 16 TDI (B9EB); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -3859,11 +3859,11 @@ SDR 16 TDI (BBBB); RUNTEST 10 TCK; SDR 16 TDI (77DD); RUNTEST 10 TCK; -SDR 16 TDI (DDD2); +SDR 16 TDI (DCCA); RUNTEST 10 TCK; -SDR 16 TDI (B5DD); +SDR 16 TDI (B19C); RUNTEST 10 TCK; -SDR 16 TDI (DDFF); +SDR 16 TDI (99FF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -3893,9 +3893,9 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (B7FF); +SDR 16 TDI (B737); RUNTEST 10 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (F7FF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -3927,7 +3927,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FF7F); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -3953,15 +3953,15 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FDF); RUNTEST 10 TCK; -SDR 16 TDI (BFBF); +SDR 16 TDI (EFEF); RUNTEST 10 TCK; -SDR 16 TDI (BFEF); +SDR 16 TDI (BBFF); RUNTEST 10 TCK; SDR 16 TDI (EFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (6FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -3985,7 +3985,7 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FEF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -4023,7 +4023,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FF7F); +SDR 16 TDI (FFAF); RUNTEST 10 TCK; SDR 16 TDI (7DFF); RUNTEST 10 TCK; @@ -4049,13 +4049,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FBD); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -4077,7 +4077,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (F7FF); RUNTEST 10 TCK; -SDR 16 TDI (BBFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -4109,13 +4109,13 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (EFFF); RUNTEST 10 TCK; -SDR 16 TDI (B7FF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7EFF); +SDR 16 TDI (7E7F); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFEF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -4145,13 +4145,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFE); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -4177,9 +4177,9 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7EFB); +SDR 16 TDI (7EFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -4215,7 +4215,7 @@ SDR 16 TDI (FFDF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -4241,9 +4241,9 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FBF); RUNTEST 10 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (FBDF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -4273,11 +4273,11 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FF7); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFE); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -4307,11 +4307,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFEF); +SDR 16 TDI (FEE7); RUNTEST 10 TCK; -SDR 16 TDI (BFEB); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (5EF5); +SDR 16 TDI (FFF5); RUNTEST 10 TCK; SDR 16 TDI (69FF); RUNTEST 10 TCK; @@ -4337,13 +4337,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFE); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FCFF); RUNTEST 10 TCK; SDR 16 TDI (7DFF); RUNTEST 10 TCK; @@ -4369,13 +4369,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7F5B); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFDF); +SDR 16 TDI (BBFF); RUNTEST 10 TCK; -SDR 16 TDI (FD75); +SDR 16 TDI (FFF5); RUNTEST 10 TCK; SDR 16 TDI (69FF); RUNTEST 10 TCK; @@ -4401,13 +4401,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFE); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFB); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (DF7E); RUNTEST 10 TCK; SDR 16 TDI (75FF); RUNTEST 10 TCK; @@ -4435,11 +4435,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFE); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FBFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -4465,13 +4465,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7F9F); RUNTEST 10 TCK; -SDR 16 TDI (DFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BDDD); +SDR 16 TDI (BFDF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FDFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -4497,13 +4497,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FBE); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BBB7); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (5B7F); +SDR 16 TDI (FBFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -4529,13 +4529,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FF9); RUNTEST 10 TCK; -SDR 16 TDI (FFFD); +SDR 16 TDI (EFD7); RUNTEST 10 TCK; -SDR 16 TDI (BFDF); +SDR 16 TDI (BFFB); RUNTEST 10 TCK; -SDR 16 TDI (FEFE); +SDR 16 TDI (FDFE); RUNTEST 10 TCK; SDR 16 TDI (77FF); RUNTEST 10 TCK; @@ -4561,13 +4561,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7F4F); RUNTEST 10 TCK; -SDR 16 TDI (EFDF); +SDR 16 TDI (FDFE); RUNTEST 10 TCK; -SDR 16 TDI (BFF9); +SDR 16 TDI (BDBF); RUNTEST 10 TCK; -SDR 16 TDI (FDFF); +SDR 16 TDI (BE7F); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -4593,13 +4593,13 @@ SDR 16 TDI (BFFE); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7F80); RUNTEST 10 TCK; -SDR 16 TDI (CF0F); +SDR 16 TDI (C00F); RUNTEST 10 TCK; -SDR 16 TDI (B180); +SDR 16 TDI (B019); RUNTEST 10 TCK; -SDR 16 TDI (0075); +SDR 16 TDI (98F5); RUNTEST 10 TCK; SDR 16 TDI (69FF); RUNTEST 10 TCK; @@ -4625,13 +4625,13 @@ SDR 16 TDI (BFFD); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7F20); RUNTEST 10 TCK; -SDR 16 TDI (CF0F); +SDR 16 TDI (C00D); RUNTEST 10 TCK; -SDR 16 TDI (B986); +SDR 16 TDI (B819); RUNTEST 10 TCK; -SDR 16 TDI (007F); +SDR 16 TDI (997F); RUNTEST 10 TCK; SDR 16 TDI (7DFF); RUNTEST 10 TCK; @@ -4657,13 +4657,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7F48); RUNTEST 10 TCK; -SDR 16 TDI (CF0F); +SDR 16 TDI (C007); RUNTEST 10 TCK; -SDR 16 TDI (B998); +SDR 16 TDI (B819); RUNTEST 10 TCK; -SDR 16 TDI (00F5); +SDR 16 TDI (9C75); RUNTEST 10 TCK; SDR 16 TDI (6BFF); RUNTEST 10 TCK; @@ -4689,13 +4689,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7F28); RUNTEST 10 TCK; -SDR 16 TDI (CF0F); +SDR 16 TDI (C00F); RUNTEST 10 TCK; -SDR 16 TDI (B980); +SDR 16 TDI (B819); RUNTEST 10 TCK; -SDR 16 TDI (007F); +SDR 16 TDI (9A7F); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -4721,13 +4721,13 @@ SDR 16 TDI (B31F); RUNTEST 10 TCK; SDR 16 TDI (3333); RUNTEST 10 TCK; -SDR 16 TDI (67CC); +SDR 16 TDI (674C); RUNTEST 10 TCK; -SDR 16 TDI (ECE7); +SDR 16 TDI (ECEF); RUNTEST 10 TCK; -SDR 16 TDI (B999); +SDR 16 TDI (BB99); RUNTEST 10 TCK; -SDR 16 TDI (B97F); +SDR 16 TDI (997F); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -4753,11 +4753,11 @@ SDR 16 TDI (B74A); RUNTEST 10 TCK; SDR 16 TDI (BBBB); RUNTEST 10 TCK; -SDR 16 TDI (77DD); +SDR 16 TDI (77CD); RUNTEST 10 TCK; -SDR 16 TDI (CDCA); +SDR 16 TDI (CCCA); RUNTEST 10 TCK; -SDR 16 TDI (B1C9); +SDR 16 TDI (B199); RUNTEST 10 TCK; SDR 16 TDI (99FF); RUNTEST 10 TCK; @@ -4785,13 +4785,13 @@ SDR 16 TDI (BFFE); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7F6E); RUNTEST 10 TCK; -SDR 16 TDI (FF7F); +SDR 16 TDI (F77F); RUNTEST 10 TCK; -SDR 16 TDI (B7FF); +SDR 16 TDI (B77F); RUNTEST 10 TCK; -SDR 16 TDI (F37F); +SDR 16 TDI (FB7F); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -4817,13 +4817,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FDF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BDFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -4849,13 +4849,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFD); +SDR 16 TDI (7FFE); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FE6F); RUNTEST 10 TCK; -SDR 16 TDI (BFFD); +SDR 16 TDI (BBBA); RUNTEST 10 TCK; -SDR 16 TDI (DFFF); +SDR 16 TDI (FBFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -4883,12 +4883,12 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); -RUNTEST 10 TCK; -SDR 16 TDI (BBFF); +SDR 16 TDI (FF7F); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; +SDR 16 TDI (DFFF); +RUNTEST 10 TCK; SDR 16 TDI (7DFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); @@ -4913,11 +4913,11 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FEE); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFD); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -4949,11 +4949,11 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BBFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (77FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5013,9 +5013,9 @@ SDR 16 TDI (7EFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFB); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FEF7); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -5045,7 +5045,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFEF); RUNTEST 10 TCK; -SDR 16 TDI (BDFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5073,13 +5073,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7EFB); +SDR 16 TDI (7EFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FBFF); RUNTEST 10 TCK; -SDR 16 TDI (BFF7); +SDR 16 TDI (BFDF); RUNTEST 10 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -5105,13 +5105,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7EED); +SDR 16 TDI (7EFF); RUNTEST 10 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (FDDF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFEF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FEFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -5137,13 +5137,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FDD); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FDDF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFD3); RUNTEST 10 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (EBFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -5169,13 +5169,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FF7); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FBFF); RUNTEST 10 TCK; -SDR 16 TDI (BFEF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FEFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -5205,9 +5205,9 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BDFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFF4); +SDR 16 TDI (BFF5); RUNTEST 10 TCK; SDR 16 TDI (79FF); RUNTEST 10 TCK; @@ -5235,11 +5235,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFE); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFCF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FDFE); RUNTEST 10 TCK; SDR 16 TDI (7DFF); RUNTEST 10 TCK; @@ -5267,11 +5267,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FDFF); RUNTEST 10 TCK; -SDR 16 TDI (BFDD); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (5574); +SDR 16 TDI (FFF5); RUNTEST 10 TCK; SDR 16 TDI (7BFF); RUNTEST 10 TCK; @@ -5297,15 +5297,15 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFE); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFBF); RUNTEST 10 TCK; SDR 16 TDI (FFFB); RUNTEST 10 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (67FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5331,9 +5331,9 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFEF); +SDR 16 TDI (FDFF); RUNTEST 10 TCK; -SDR 16 TDI (BFDF); +SDR 16 TDI (BFF5); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5363,11 +5363,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; -SDR 16 TDI (BFF5); +SDR 16 TDI (BBFF); RUNTEST 10 TCK; -SDR 16 TDI (DDFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -5393,13 +5393,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFE); RUNTEST 10 TCK; -SDR 16 TDI (FFEF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFBE); +SDR 16 TDI (BFFB); RUNTEST 10 TCK; -SDR 16 TDI (EEFF); +SDR 16 TDI (FDFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -5429,9 +5429,9 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFD1); +SDR 16 TDI (BFE7); RUNTEST 10 TCK; -SDR 16 TDI (99EE); +SDR 16 TDI (9FEE); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -5459,9 +5459,9 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FCED); RUNTEST 10 TCK; -SDR 16 TDI (BDFF); +SDR 16 TDI (BB9F); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5489,13 +5489,13 @@ SDR 16 TDI (BFFE); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FF3); RUNTEST 10 TCK; -SDR 16 TDI (FFCF); +SDR 16 TDI (F33F); RUNTEST 10 TCK; -SDR 16 TDI (B002); +SDR 16 TDI (B18E); RUNTEST 10 TCK; -SDR 16 TDI (00F5); +SDR 16 TDI (6075); RUNTEST 10 TCK; SDR 16 TDI (67FF); RUNTEST 10 TCK; @@ -5521,13 +5521,13 @@ SDR 16 TDI (BFFD); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FF3); RUNTEST 10 TCK; -SDR 16 TDI (FFCF); +SDR 16 TDI (F33F); RUNTEST 10 TCK; -SDR 16 TDI (A81A); +SDR 16 TDI (B996); RUNTEST 10 TCK; -SDR 16 TDI (00FF); +SDR 16 TDI (607F); RUNTEST 10 TCK; SDR 16 TDI (77FF); RUNTEST 10 TCK; @@ -5553,13 +5553,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FF3); RUNTEST 10 TCK; -SDR 16 TDI (FFCF); +SDR 16 TDI (F03F); RUNTEST 10 TCK; -SDR 16 TDI (B800); +SDR 16 TDI (B9C6); RUNTEST 10 TCK; -SDR 16 TDI (4075); +SDR 16 TDI (0075); RUNTEST 10 TCK; SDR 16 TDI (6FFF); RUNTEST 10 TCK; @@ -5585,13 +5585,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FF3); RUNTEST 10 TCK; -SDR 16 TDI (FFCF); +SDR 16 TDI (F03F); RUNTEST 10 TCK; -SDR 16 TDI (B800); +SDR 16 TDI (B9A6); RUNTEST 10 TCK; -SDR 16 TDI (407F); +SDR 16 TDI (002F); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -5617,13 +5617,13 @@ SDR 16 TDI (B31F); RUNTEST 10 TCK; SDR 16 TDI (3333); RUNTEST 10 TCK; -SDR 16 TDI (67CC); +SDR 16 TDI (67CE); RUNTEST 10 TCK; -SDR 16 TDI (CCE7); +SDR 16 TDI (C4E7); RUNTEST 10 TCK; -SDR 16 TDI (BB88); +SDR 16 TDI (BBB3); RUNTEST 10 TCK; -SDR 16 TDI (89DE); +SDR 16 TDI (93FE); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -5649,13 +5649,13 @@ SDR 16 TDI (B74A); RUNTEST 10 TCK; SDR 16 TDI (BBBB); RUNTEST 10 TCK; -SDR 16 TDI (77DD); +SDR 16 TDI (77DC); RUNTEST 10 TCK; -SDR 16 TDI (DDCA); +SDR 16 TDI (D8CA); RUNTEST 10 TCK; -SDR 16 TDI (B1DD); +SDR 16 TDI (B189); RUNTEST 10 TCK; -SDR 16 TDI (DCFF); +SDR 16 TDI (99FF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -5683,11 +5683,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F7FF); RUNTEST 10 TCK; -SDR 16 TDI (B77F); +SDR 16 TDI (B7F7); RUNTEST 10 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (B74F); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -5713,11 +5713,11 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFD); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFBF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BCBF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5749,9 +5749,9 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BDFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (EFFF); +SDR 16 TDI (FEF7); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -5777,11 +5777,11 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFE); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FF7F); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFDF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5813,7 +5813,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BBFF); +SDR 16 TDI (BD7F); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5835,7 +5835,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FBFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -5845,9 +5845,9 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BDFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (F7FF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -5869,15 +5869,15 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (AFFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F7FF); RUNTEST 10 TCK; -SDR 16 TDI (BEFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5899,19 +5899,19 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7EBF); +SDR 16 TDI (7EFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F7FF); RUNTEST 10 TCK; -SDR 16 TDI (BFFB); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (BEFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -5931,7 +5931,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FBFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -5941,9 +5941,9 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BCFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (EFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -5975,7 +5975,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FD7); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -6001,13 +6001,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7E69); +SDR 16 TDI (7EAF); RUNTEST 10 TCK; -SDR 16 TDI (DBDF); +SDR 16 TDI (FDDF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFB); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -6033,13 +6033,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7F7F); RUNTEST 10 TCK; -SDR 16 TDI (DEDF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFAF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FD5); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -6065,13 +6065,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7F9A); +SDR 16 TDI (7FDF); RUNTEST 10 TCK; -SDR 16 TDI (FBFF); +SDR 16 TDI (FDFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFBF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -6097,15 +6097,15 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FEF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (DFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BEFB); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FDF4); +SDR 16 TDI (FFF5); RUNTEST 10 TCK; -SDR 16 TDI (75FF); +SDR 16 TDI (65FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -6131,11 +6131,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FDFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BDFF); +SDR 16 TDI (BBFF); RUNTEST 10 TCK; -SDR 16 TDI (EEFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7DFF); RUNTEST 10 TCK; @@ -6163,11 +6163,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (F7FF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFD7); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (DF75); +SDR 16 TDI (FFF5); RUNTEST 10 TCK; SDR 16 TDI (65FF); RUNTEST 10 TCK; @@ -6193,13 +6193,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFD); +SDR 16 TDI (7F7F); RUNTEST 10 TCK; -SDR 16 TDI (DFFF); +SDR 16 TDI (FF7F); RUNTEST 10 TCK; -SDR 16 TDI (BEFF); +SDR 16 TDI (BFF7); RUNTEST 10 TCK; -SDR 16 TDI (CFFE); +SDR 16 TDI (BF7E); RUNTEST 10 TCK; SDR 16 TDI (7DFF); RUNTEST 10 TCK; @@ -6225,13 +6225,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FEF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (BD7F); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFB); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFE); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -6257,13 +6257,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7F5F); RUNTEST 10 TCK; -SDR 16 TDI (F76E); +SDR 16 TDI (FF5F); RUNTEST 10 TCK; -SDR 16 TDI (BBB7); +SDR 16 TDI (BFD7); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FD7F); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -6291,11 +6291,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (EEBE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BBFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (9FFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -6321,13 +6321,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FCE); +SDR 16 TDI (7F7F); RUNTEST 10 TCK; -SDR 16 TDI (916F); +SDR 16 TDI (FF7F); RUNTEST 10 TCK; -SDR 16 TDI (BFA1); +SDR 16 TDI (BFE7); RUNTEST 10 TCK; -SDR 16 TDI (EE7E); +SDR 16 TDI (FF7E); RUNTEST 10 TCK; SDR 16 TDI (7BFF); RUNTEST 10 TCK; @@ -6353,13 +6353,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FAF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFAF); RUNTEST 10 TCK; -SDR 16 TDI (BC5F); +SDR 16 TDI (BDBF); RUNTEST 10 TCK; -SDR 16 TDI (F5FF); +SDR 16 TDI (BAFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -6385,15 +6385,15 @@ SDR 16 TDI (BFFE); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FF3); +SDR 16 TDI (7F2F); RUNTEST 10 TCK; -SDR 16 TDI (202F); +SDR 16 TDI (FF0F); RUNTEST 10 TCK; -SDR 16 TDI (B787); +SDR 16 TDI (B007); RUNTEST 10 TCK; -SDR 16 TDI (FDF5); +SDR 16 TDI (E074); RUNTEST 10 TCK; -SDR 16 TDI (6DFF); +SDR 16 TDI (7DFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -6417,15 +6417,15 @@ SDR 16 TDI (BFFD); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FF3); +SDR 16 TDI (7F0F); RUNTEST 10 TCK; -SDR 16 TDI (200D); +SDR 16 TDI (FF8F); RUNTEST 10 TCK; -SDR 16 TDI (B9A7); +SDR 16 TDI (A827); RUNTEST 10 TCK; -SDR 16 TDI (987E); +SDR 16 TDI (E27E); RUNTEST 10 TCK; -SDR 16 TDI (7DFF); +SDR 16 TDI (75FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -6449,15 +6449,15 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7F33); +SDR 16 TDI (7F1F); RUNTEST 10 TCK; -SDR 16 TDI (D41F); +SDR 16 TDI (FF0F); RUNTEST 10 TCK; -SDR 16 TDI (BD81); +SDR 16 TDI (B807); RUNTEST 10 TCK; -SDR 16 TDI (DFF5); +SDR 16 TDI (E075); RUNTEST 10 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -6481,13 +6481,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7F33); +SDR 16 TDI (7F0F); RUNTEST 10 TCK; -SDR 16 TDI (D00F); +SDR 16 TDI (FF8F); RUNTEST 10 TCK; -SDR 16 TDI (B981); +SDR 16 TDI (B847); RUNTEST 10 TCK; -SDR 16 TDI (9C7F); +SDR 16 TDI (E42F); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -6513,13 +6513,13 @@ SDR 16 TDI (B31F); RUNTEST 10 TCK; SDR 16 TDI (3333); RUNTEST 10 TCK; -SDR 16 TDI (67EE); +SDR 16 TDI (678C); RUNTEST 10 TCK; -SDR 16 TDI (C0C7); +SDR 16 TDI (CC87); RUNTEST 10 TCK; -SDR 16 TDI (B993); +SDR 16 TDI (BB89); RUNTEST 10 TCK; -SDR 16 TDI (9DFD); +SDR 16 TDI (B9FF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -6545,13 +6545,13 @@ SDR 16 TDI (B74A); RUNTEST 10 TCK; SDR 16 TDI (BBBB); RUNTEST 10 TCK; -SDR 16 TDI (77CC); +SDR 16 TDI (77DD); RUNTEST 10 TCK; -SDR 16 TDI (CCDA); +SDR 16 TDI (DDDA); RUNTEST 10 TCK; -SDR 16 TDI (B199); +SDR 16 TDI (B1DD); RUNTEST 10 TCK; -SDR 16 TDI (99FB); +SDR 16 TDI (9CEF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -6579,11 +6579,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (F7FF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (B7B7); +SDR 16 TDI (B77F); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFBF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -6609,13 +6609,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFD); RUNTEST 10 TCK; -SDR 16 TDI (FEBF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BEFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (DFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -6641,13 +6641,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFB); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (EFFF); +SDR 16 TDI (FBDF); RUNTEST 10 TCK; -SDR 16 TDI (BDFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (7BFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -6675,11 +6675,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FD7F); +SDR 16 TDI (FFEF); RUNTEST 10 TCK; -SDR 16 TDI (BDFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7DFF); RUNTEST 10 TCK; @@ -6705,13 +6705,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFD); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BF7F); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (DFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7DFF); RUNTEST 10 TCK; @@ -6737,11 +6737,11 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFD); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F7FF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFDF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -6763,19 +6763,19 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FDFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FBF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (DFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -6801,13 +6801,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7EFE); +SDR 16 TDI (7E6D); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFEF); RUNTEST 10 TCK; -SDR 16 TDI (BEFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (DFFF); +SDR 16 TDI (FEFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -6827,7 +6827,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FDFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -6835,9 +6835,9 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FBFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFDF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -6865,9 +6865,9 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7EFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -6897,13 +6897,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7EFF); +SDR 16 TDI (7EBB); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FEDF); RUNTEST 10 TCK; -SDR 16 TDI (BDFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FAEF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -6929,11 +6929,11 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFE); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FD5F); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFBF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -6961,13 +6961,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FBB); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BDFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FAEF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -6997,11 +6997,11 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BF7F); +SDR 16 TDI (BDBF); RUNTEST 10 TCK; -SDR 16 TDI (FFF5); +SDR 16 TDI (DFF5); RUNTEST 10 TCK; -SDR 16 TDI (6DFF); +SDR 16 TDI (75FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -7027,13 +7027,13 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (EBFF); +SDR 16 TDI (D9DF); RUNTEST 10 TCK; -SDR 16 TDI (BFEF); +SDR 16 TDI (BFFD); RUNTEST 10 TCK; -SDR 16 TDI (EFFF); +SDR 16 TDI (FFFE); RUNTEST 10 TCK; -SDR 16 TDI (75FF); +SDR 16 TDI (7DFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -7057,15 +7057,15 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFE); +SDR 16 TDI (7FFD); RUNTEST 10 TCK; -SDR 16 TDI (FEFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (BBBB); +SDR 16 TDI (BF77); RUNTEST 10 TCK; -SDR 16 TDI (BFF5); +SDR 16 TDI (FFF4); RUNTEST 10 TCK; -SDR 16 TDI (69FF); +SDR 16 TDI (7DFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -7089,15 +7089,15 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFB); +SDR 16 TDI (7F7D); RUNTEST 10 TCK; SDR 16 TDI (FBFF); RUNTEST 10 TCK; -SDR 16 TDI (BFEF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (F7FE); +SDR 16 TDI (FF7E); RUNTEST 10 TCK; -SDR 16 TDI (7DFF); +SDR 16 TDI (79FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -7121,13 +7121,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FEB); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFE); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BBFB); RUNTEST 10 TCK; -SDR 16 TDI (FBFE); +SDR 16 TDI (FBFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -7153,13 +7153,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFD); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FDFF); +SDR 16 TDI (6FFF); RUNTEST 10 TCK; -SDR 16 TDI (BBDD); +SDR 16 TDI (BF6F); RUNTEST 10 TCK; -SDR 16 TDI (DFFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -7185,13 +7185,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFE); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (DEFF); +SDR 16 TDI (57FE); RUNTEST 10 TCK; -SDR 16 TDI (BFBB); +SDR 16 TDI (BF5D); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (5FFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -7217,13 +7217,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FBF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (BBFF); +SDR 16 TDI (B8EB); RUNTEST 10 TCK; -SDR 16 TDI (FBFE); +SDR 16 TDI (FEFE); RUNTEST 10 TCK; SDR 16 TDI (77FF); RUNTEST 10 TCK; @@ -7249,13 +7249,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FF9); +SDR 16 TDI (7FE8); RUNTEST 10 TCK; -SDR 16 TDI (F1FF); +SDR 16 TDI (E9DF); RUNTEST 10 TCK; -SDR 16 TDI (BD45); +SDR 16 TDI (BFF7); RUNTEST 10 TCK; -SDR 16 TDI (C7FF); +SDR 16 TDI (FBFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -7281,13 +7281,13 @@ SDR 16 TDI (BFFE); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FF4); +SDR 16 TDI (7FD2); RUNTEST 10 TCK; -SDR 16 TDI (0ECB); +SDR 16 TDI (0B0F); RUNTEST 10 TCK; -SDR 16 TDI (B659); +SDR 16 TDI (B058); RUNTEST 10 TCK; -SDR 16 TDI (B9F5); +SDR 16 TDI (7F75); RUNTEST 10 TCK; SDR 16 TDI (69FF); RUNTEST 10 TCK; @@ -7313,13 +7313,13 @@ SDR 16 TDI (BFFD); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FF4); +SDR 16 TDI (7FD2); RUNTEST 10 TCK; -SDR 16 TDI (0FCF); +SDR 16 TDI (0B0D); RUNTEST 10 TCK; -SDR 16 TDI (A819); +SDR 16 TDI (BA00); RUNTEST 10 TCK; -SDR 16 TDI (A1FF); +SDR 16 TDI (7F7F); RUNTEST 10 TCK; SDR 16 TDI (7DFF); RUNTEST 10 TCK; @@ -7345,13 +7345,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FF0); +SDR 16 TDI (7FED); RUNTEST 10 TCK; -SDR 16 TDI (0FCF); +SDR 16 TDI (4B0F); RUNTEST 10 TCK; -SDR 16 TDI (BFFE); +SDR 16 TDI (B87E); RUNTEST 10 TCK; -SDR 16 TDI (79F5); +SDR 16 TDI (0775); RUNTEST 10 TCK; SDR 16 TDI (6BFF); RUNTEST 10 TCK; @@ -7377,13 +7377,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FF0); +SDR 16 TDI (7FED); RUNTEST 10 TCK; -SDR 16 TDI (0FCF); +SDR 16 TDI (030F); RUNTEST 10 TCK; -SDR 16 TDI (B87E); +SDR 16 TDI (B840); RUNTEST 10 TCK; -SDR 16 TDI (01FF); +SDR 16 TDI (073F); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -7409,13 +7409,13 @@ SDR 16 TDI (B31F); RUNTEST 10 TCK; SDR 16 TDI (3333); RUNTEST 10 TCK; -SDR 16 TDI (67CC); +SDR 16 TDI (67EC); RUNTEST 10 TCK; -SDR 16 TDI (C8D7); +SDR 16 TDI (4DC7); RUNTEST 10 TCK; -SDR 16 TDI (B98B); +SDR 16 TDI (B859); RUNTEST 10 TCK; -SDR 16 TDI (B9FD); +SDR 16 TDI (BBFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -7441,13 +7441,13 @@ SDR 16 TDI (B74A); RUNTEST 10 TCK; SDR 16 TDI (BBBB); RUNTEST 10 TCK; -SDR 16 TDI (77DC); +SDR 16 TDI (77CC); RUNTEST 10 TCK; -SDR 16 TDI (CDCA); +SDR 16 TDI (8CCA); RUNTEST 10 TCK; -SDR 16 TDI (B4D9); +SDR 16 TDI (B19D); RUNTEST 10 TCK; -SDR 16 TDI (99FB); +SDR 16 TDI (99FF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -7475,11 +7475,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (7EFF); +SDR 16 TDI (7F7F); RUNTEST 10 TCK; -SDR 16 TDI (B7FF); +SDR 16 TDI (B37F); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -7505,11 +7505,11 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FDD); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFBF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BEFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -7539,11 +7539,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFEF); +SDR 16 TDI (BDDF); RUNTEST 10 TCK; -SDR 16 TDI (BFFB); +SDR 16 TDI (BDFE); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FEFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -7569,11 +7569,11 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FED); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FF6F); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BCFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -7603,7 +7603,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FDFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -7633,13 +7633,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7DFF); +SDR 16 TDI (7F7F); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BEFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (DFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -7659,13 +7659,13 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FDFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7EFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -7697,13 +7697,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7EFF); +SDR 16 TDI (7E7F); RUNTEST 10 TCK; -SDR 16 TDI (FEFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFBF); RUNTEST 10 TCK; -SDR 16 TDI (FEFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -7723,20 +7723,20 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FDFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7BFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BEFF); -RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; +SDR 16 TDI (FFFF); +RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); @@ -7763,7 +7763,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FBFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -7799,7 +7799,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BDFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -7827,11 +7827,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FD7F); +SDR 16 TDI (F55F); RUNTEST 10 TCK; -SDR 16 TDI (BDFE); +SDR 16 TDI (BFBF); RUNTEST 10 TCK; -SDR 16 TDI (CFBF); +SDR 16 TDI (BFBF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -7889,13 +7889,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FEF); +SDR 16 TDI (7FFE); RUNTEST 10 TCK; -SDR 16 TDI (FDFF); +SDR 16 TDI (EFBF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BBFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -7921,13 +7921,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FF7); +SDR 16 TDI (7FEF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (FFEF); RUNTEST 10 TCK; -SDR 16 TDI (BF74); +SDR 16 TDI (BFDF); RUNTEST 10 TCK; -SDR 16 TDI (F77F); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -7953,13 +7953,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFD); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (DFEF); +SDR 16 TDI (BEBF); RUNTEST 10 TCK; -SDR 16 TDI (BDDF); +SDR 16 TDI (BFEF); RUNTEST 10 TCK; -SDR 16 TDI (5DBF); +SDR 16 TDI (FFBF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -7985,13 +7985,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7F7F); +SDR 16 TDI (7FFB); RUNTEST 10 TCK; -SDR 16 TDI (B7FF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BBEF); +SDR 16 TDI (BFFB); RUNTEST 10 TCK; -SDR 16 TDI (F3BF); +SDR 16 TDI (EEBF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -8017,13 +8017,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FEB); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (EEFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BEBF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (DFBF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -8049,13 +8049,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FBD); +SDR 16 TDI (7FF5); RUNTEST 10 TCK; -SDR 16 TDI (FBFF); +SDR 16 TDI (DFDF); RUNTEST 10 TCK; -SDR 16 TDI (BFFD); +SDR 16 TDI (BBAF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DD3F); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -8083,11 +8083,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FDDF); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; -SDR 16 TDI (BAFE); +SDR 16 TDI (BBBF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (FFBF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -8113,13 +8113,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FE7); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (7EFF); +SDR 16 TDI (DDFF); RUNTEST 10 TCK; -SDR 16 TDI (BF75); +SDR 16 TDI (BFE7); RUNTEST 10 TCK; -SDR 16 TDI (F77F); +SDR 16 TDI (FFBF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -8145,13 +8145,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7F18); +SDR 16 TDI (7FD0); RUNTEST 10 TCK; -SDR 16 TDI (83FF); +SDR 16 TDI (AF2F); RUNTEST 10 TCK; -SDR 16 TDI (BD8B); +SDR 16 TDI (BFDB); RUNTEST 10 TCK; -SDR 16 TDI (89DF); +SDR 16 TDI (885F); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -8177,13 +8177,13 @@ SDR 16 TDI (BFFE); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFA); +SDR 16 TDI (7F0E); RUNTEST 10 TCK; -SDR 16 TDI (FF0B); +SDR 16 TDI (A02F); RUNTEST 10 TCK; -SDR 16 TDI (B6AD); +SDR 16 TDI (B199); RUNTEST 10 TCK; -SDR 16 TDI (A9FF); +SDR 16 TDI (85DF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -8209,13 +8209,13 @@ SDR 16 TDI (BFFD); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFA); +SDR 16 TDI (7F0F); RUNTEST 10 TCK; -SDR 16 TDI (FF0F); +SDR 16 TDI (A00F); RUNTEST 10 TCK; -SDR 16 TDI (AE80); +SDR 16 TDI (A991); RUNTEST 10 TCK; -SDR 16 TDI (803F); +SDR 16 TDI (819F); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -8241,13 +8241,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7F89); +SDR 16 TDI (7F0F); RUNTEST 10 TCK; -SDR 16 TDI (080F); +SDR 16 TDI (80DF); RUNTEST 10 TCK; -SDR 16 TDI (B800); +SDR 16 TDI (B9F9); RUNTEST 10 TCK; -SDR 16 TDI (1F9F); +SDR 16 TDI (A79F); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -8273,13 +8273,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FC3); +SDR 16 TDI (7F0F); RUNTEST 10 TCK; -SDR 16 TDI (2C0F); +SDR 16 TDI (80CF); RUNTEST 10 TCK; -SDR 16 TDI (BEA0); +SDR 16 TDI (B9F9); RUNTEST 10 TCK; -SDR 16 TDI (1FFF); +SDR 16 TDI (A7BF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -8305,11 +8305,11 @@ SDR 16 TDI (B31F); RUNTEST 10 TCK; SDR 16 TDI (3333); RUNTEST 10 TCK; -SDR 16 TDI (67CE); +SDR 16 TDI (67C8); RUNTEST 10 TCK; -SDR 16 TDI (C8C7); +SDR 16 TDI (CCE7); RUNTEST 10 TCK; -SDR 16 TDI (BB9B); +SDR 16 TDI (BB99); RUNTEST 10 TCK; SDR 16 TDI (99FF); RUNTEST 10 TCK; @@ -8337,11 +8337,11 @@ SDR 16 TDI (B74A); RUNTEST 10 TCK; SDR 16 TDI (BBBB); RUNTEST 10 TCK; -SDR 16 TDI (779C); +SDR 16 TDI (77CD); RUNTEST 10 TCK; -SDR 16 TDI (DDCA); +SDR 16 TDI (9CCA); RUNTEST 10 TCK; -SDR 16 TDI (B1D9); +SDR 16 TDI (B199); RUNTEST 10 TCK; SDR 16 TDI (9CBB); RUNTEST 10 TCK; @@ -8385,11 +8385,11 @@ SDR 16 TDI (BFFE); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7F7F); RUNTEST 10 TCK; -SDR 16 TDI (EF7F); +SDR 16 TDI (F7FF); RUNTEST 10 TCK; -SDR 16 TDI (B7BF); +SDR 16 TDI (B7FF); RUNTEST 10 TCK; SDR 16 TDI (FFF7); RUNTEST 10 TCK; @@ -8433,16 +8433,16 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FEF); -RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (BF7F); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; +SDR 16 TDI (7FFF); +RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); @@ -8483,11 +8483,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7EF6); RUNTEST 10 TCK; -SDR 16 TDI (FF5F); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BDBF); +SDR 16 TDI (BFFB); RUNTEST 10 TCK; -SDR 16 TDI (FF7F); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -8499,7 +8499,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFD); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -8531,11 +8531,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FF7); RUNTEST 10 TCK; -SDR 16 TDI (FFAF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BB7F); +SDR 16 TDI (BFFE); RUNTEST 10 TCK; -SDR 16 TDI (FEFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -8577,13 +8577,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FDF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (DFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -8595,7 +8595,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFD); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -8637,13 +8637,13 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BEFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FBFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -8673,9 +8673,9 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7EFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (77FF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -8687,7 +8687,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -8721,11 +8721,11 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7EFF); +SDR 16 TDI (7EFE); RUNTEST 10 TCK; -SDR 16 TDI (FEFF); +SDR 16 TDI (F7EF); RUNTEST 10 TCK; -SDR 16 TDI (BBFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -8735,11 +8735,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (DFFD); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (FBFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -8771,7 +8771,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7EFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -8781,9 +8781,9 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BEFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -8817,7 +8817,7 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FDF); +SDR 16 TDI (7FFB); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -8831,11 +8831,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFBF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -8869,9 +8869,9 @@ SDR 16 TDI (7EFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BDFF); +SDR 16 TDI (BDFD); RUNTEST 10 TCK; -SDR 16 TDI (BBFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -8879,7 +8879,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -8891,7 +8891,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -8915,23 +8915,23 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FD7F); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFBD); RUNTEST 10 TCK; -SDR 16 TDI (DDFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFE); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FDDE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (F7F7); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -8939,7 +8939,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFBF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -8961,11 +8961,11 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FDF); +SDR 16 TDI (7FF7); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFE); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -8979,7 +8979,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFBF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -8987,7 +8987,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FF7F); +SDR 16 TDI (DFFF); RUNTEST 10 TCK; SDR 16 TDI (A55F); RUNTEST 10 TCK; @@ -9025,9 +9025,9 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (6FFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (DFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -9035,7 +9035,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FAA7); +SDR 16 TDI (FA97); RUNTEST 10 TCK; SDR 16 TDI (AFFF); RUNTEST 10 TCK; @@ -9057,13 +9057,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FBA); +SDR 16 TDI (7FBE); RUNTEST 10 TCK; -SDR 16 TDI (AAEF); +SDR 16 TDI (EEDF); RUNTEST 10 TCK; -SDR 16 TDI (BABA); +SDR 16 TDI (BEEC); RUNTEST 10 TCK; -SDR 16 TDI (ABFF); +SDR 16 TDI (CFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -9071,11 +9071,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (F7EF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFE); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FF7F); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -9105,13 +9105,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FDB); +SDR 16 TDI (7FEF); RUNTEST 10 TCK; -SDR 16 TDI (FBFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFEF); +SDR 16 TDI (BBBE); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FDFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -9121,9 +9121,9 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (76FF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FEFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -9155,9 +9155,9 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BEFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BEEF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -9171,7 +9171,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFEF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -9201,13 +9201,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FAF); +SDR 16 TDI (7FBE); RUNTEST 10 TCK; -SDR 16 TDI (AFAF); +SDR 16 TDI (EB6F); RUNTEST 10 TCK; -SDR 16 TDI (BAFA); +SDR 16 TDI (BBBB); RUNTEST 10 TCK; -SDR 16 TDI (EFFF); +SDR 16 TDI (EB7F); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -9215,11 +9215,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FEFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (F77F); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -9249,13 +9249,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFE); +SDR 16 TDI (7FDF); RUNTEST 10 TCK; -SDR 16 TDI (FEFF); +SDR 16 TDI (FBBF); RUNTEST 10 TCK; -SDR 16 TDI (BFCF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DEFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -9265,9 +9265,9 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FEF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FDFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -9297,13 +9297,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FBD); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (B3BF); +SDR 16 TDI (FEEF); RUNTEST 10 TCK; -SDR 16 TDI (BEF6); +SDR 16 TDI (BFFE); RUNTEST 10 TCK; -SDR 16 TDI (EFFF); +SDR 16 TDI (FBFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -9311,11 +9311,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFEF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (EF7F); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -9345,13 +9345,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FE7); +SDR 16 TDI (7FDF); RUNTEST 10 TCK; -SDR 16 TDI (CCEF); +SDR 16 TDI (F79F); RUNTEST 10 TCK; -SDR 16 TDI (B989); +SDR 16 TDI (BDDF); RUNTEST 10 TCK; -SDR 16 TDI (DDFF); +SDR 16 TDI (FCFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -9359,11 +9359,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FDE); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (F5BF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -9393,13 +9393,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7F5A); +SDR 16 TDI (7F2C); RUNTEST 10 TCK; -SDR 16 TDI (7FDF); +SDR 16 TDI (897F); RUNTEST 10 TCK; -SDR 16 TDI (BF7F); +SDR 16 TDI (BA21); RUNTEST 10 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (077F); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -9407,11 +9407,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (EF7F); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7DFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FEDF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -9441,13 +9441,13 @@ SDR 16 TDI (BFFE); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FF3); +SDR 16 TDI (7F0C); RUNTEST 10 TCK; -SDR 16 TDI (CE4B); +SDR 16 TDI (CFFB); RUNTEST 10 TCK; -SDR 16 TDI (B2B8); +SDR 16 TDI (B622); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (87FF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -9455,11 +9455,11 @@ SDR 16 TDI (FBFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (8180); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (6FB0); +SDR 16 TDI (7FBF); RUNTEST 10 TCK; -SDR 16 TDI (1073); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -9489,13 +9489,13 @@ SDR 16 TDI (BFFD); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FF3); +SDR 16 TDI (7F2C); RUNTEST 10 TCK; -SDR 16 TDI (CE4F); +SDR 16 TDI (C3FF); RUNTEST 10 TCK; -SDR 16 TDI (AAF8); +SDR 16 TDI (AF10); RUNTEST 10 TCK; -SDR 16 TDI (07FF); +SDR 16 TDI (8FFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -9503,11 +9503,11 @@ SDR 16 TDI (F7FF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (8180); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (6FF0); +SDR 16 TDI (7F7F); RUNTEST 10 TCK; -SDR 16 TDI (1133); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -9515,7 +9515,7 @@ SDR 16 TDI (FFBF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFE7); +SDR 16 TDI (FFF7); RUNTEST 10 TCK; SDR 16 TDI (B55F); RUNTEST 10 TCK; @@ -9539,11 +9539,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FF3); RUNTEST 10 TCK; -SDR 16 TDI (500F); +SDR 16 TDI (D68F); RUNTEST 10 TCK; -SDR 16 TDI (BB7A); +SDR 16 TDI (BE44); RUNTEST 10 TCK; -SDR 16 TDI (307F); +SDR 16 TDI (80FF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -9551,11 +9551,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (8180); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (67F0); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (10B3); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -9563,7 +9563,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FABF); +SDR 16 TDI (FA6F); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -9585,13 +9585,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FEB); +SDR 16 TDI (7F73); RUNTEST 10 TCK; -SDR 16 TDI (8D0F); +SDR 16 TDI (D2CF); RUNTEST 10 TCK; -SDR 16 TDI (9A78); +SDR 16 TDI (9E06); RUNTEST 10 TCK; -SDR 16 TDI (487F); +SDR 16 TDI (B9FF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -9599,11 +9599,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (9FFF); RUNTEST 10 TCK; -SDR 16 TDI (8180); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (6FF0); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (1133); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -9611,7 +9611,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFBF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -9633,13 +9633,13 @@ SDR 16 TDI (B31F); RUNTEST 10 TCK; SDR 16 TDI (3333); RUNTEST 10 TCK; -SDR 16 TDI (67CC); +SDR 16 TDI (67EE); RUNTEST 10 TCK; -SDR 16 TDI (EEC7); +SDR 16 TDI (8E87); RUNTEST 10 TCK; -SDR 16 TDI (9B99); +SDR 16 TDI (999B); RUNTEST 10 TCK; -SDR 16 TDI (BBE6); +SDR 16 TDI (B8E6); RUNTEST 10 TCK; SDR 16 TDI (6CCC); RUNTEST 10 TCK; @@ -9647,11 +9647,11 @@ SDR 16 TDI (7CCC); RUNTEST 10 TCK; SDR 16 TDI (999E); RUNTEST 10 TCK; -SDR 16 TDI (7667); +SDR 16 TDI (6666); RUNTEST 10 TCK; -SDR 16 TDI (6FCD); +SDR 16 TDI (67CC); RUNTEST 10 TCK; -SDR 16 TDI (E88F); +SDR 16 TDI (CCCF); RUNTEST 10 TCK; SDR 16 TDI (A666); RUNTEST 10 TCK; @@ -9681,13 +9681,13 @@ SDR 16 TDI (B74A); RUNTEST 10 TCK; SDR 16 TDI (BBBB); RUNTEST 10 TCK; -SDR 16 TDI (77D9); +SDR 16 TDI (77CC); RUNTEST 10 TCK; -SDR 16 TDI (CCD2); +SDR 16 TDI (DCD2); RUNTEST 10 TCK; -SDR 16 TDI (B1CD); +SDR 16 TDI (B5D9); RUNTEST 10 TCK; -SDR 16 TDI (99EE); +SDR 16 TDI (9DEE); RUNTEST 10 TCK; SDR 16 TDI (7DDD); RUNTEST 10 TCK; @@ -9695,11 +9695,11 @@ SDR 16 TDI (2AEE); RUNTEST 10 TCK; SDR 16 TDI (9DDE); RUNTEST 10 TCK; -SDR 16 TDI (E666); +SDR 16 TDI (EEEE); RUNTEST 10 TCK; -SDR 16 TDI (6A8C); +SDR 16 TDI (72AE); RUNTEST 10 TCK; -SDR 16 TDI (CCCF); +SDR 16 TDI (EEEF); RUNTEST 10 TCK; SDR 16 TDI (AEEE); RUNTEST 10 TCK; @@ -9729,11 +9729,11 @@ SDR 16 TDI (BFFE); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FEF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFEF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (B7FB); +SDR 16 TDI (B3BF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -9743,11 +9743,11 @@ SDR 16 TDI (FBFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFBB); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FBF); RUNTEST 10 TCK; -SDR 16 TDI (9BBF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -9755,7 +9755,7 @@ SDR 16 TDI (FFDF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FF7F); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -9791,9 +9791,9 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FEF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -9825,11 +9825,11 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFE); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BDFF); +SDR 16 TDI (BFBF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -9839,7 +9839,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FF7F); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -9887,9 +9887,9 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (9FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FDF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -9925,7 +9925,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (9BFF); +SDR 16 TDI (9FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -9955,7 +9955,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFD); RUNTEST 10 TCK; SDR 16 TDI (9FFF); RUNTEST 10 TCK; @@ -9975,7 +9975,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FEFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -10007,7 +10007,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -10017,9 +10017,9 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7EFF); RUNTEST 10 TCK; -SDR 16 TDI (FBFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -10067,11 +10067,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7EFF); RUNTEST 10 TCK; -SDR 16 TDI (B6FF); +SDR 16 TDI (EEEF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FF7F); +SDR 16 TDI (FBFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -10099,11 +10099,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFD); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -10175,7 +10175,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FBFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -10187,7 +10187,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FF7F); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (9FFF); RUNTEST 10 TCK; @@ -10211,9 +10211,9 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7EFF); RUNTEST 10 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (FDFF); RUNTEST 10 TCK; -SDR 16 TDI (9FFF); +SDR 16 TDI (9DFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -10223,7 +10223,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (9FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -10257,9 +10257,9 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFE); RUNTEST 10 TCK; -SDR 16 TDI (FD7F); +SDR 16 TDI (FDFF); RUNTEST 10 TCK; SDR 16 TDI (9FFF); RUNTEST 10 TCK; @@ -10271,7 +10271,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (9FFF); RUNTEST 10 TCK; -SDR 16 TDI (FBFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -10331,7 +10331,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFBF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (A55F); RUNTEST 10 TCK; @@ -10353,11 +10353,11 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FDD); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (9FFF); +SDR 16 TDI (9FF7); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -10369,7 +10369,7 @@ SDR 16 TDI (9FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FDF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -10379,7 +10379,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FAA7); +SDR 16 TDI (FAB7); RUNTEST 10 TCK; SDR 16 TDI (AFFF); RUNTEST 10 TCK; @@ -10401,13 +10401,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FBB); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (AABF); RUNTEST 10 TCK; -SDR 16 TDI (9FFF); +SDR 16 TDI (9ABB); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BAFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -10427,7 +10427,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFF7); +SDR 16 TDI (FFE7); RUNTEST 10 TCK; SDR 16 TDI (A55F); RUNTEST 10 TCK; @@ -10449,11 +10449,11 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFB); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFEF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFEF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -10463,7 +10463,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FEFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -10497,9 +10497,9 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFE); RUNTEST 10 TCK; -SDR 16 TDI (EFFF); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -10545,13 +10545,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FBF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BBBF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BEFE); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FEFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -10559,7 +10559,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FF7F); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -10593,11 +10593,11 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FEF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EEFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BBDB); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -10641,13 +10641,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FBB); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BBFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BEBE); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FEFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -10655,9 +10655,9 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FF7F); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (5FEF); +SDR 16 TDI (5FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -10689,13 +10689,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7F6D); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (66BF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BB61); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FF7F); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -10737,13 +10737,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FD6); RUNTEST 10 TCK; -SDR 16 TDI (EFFF); +SDR 16 TDI (DD4F); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BDDF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DDFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -10751,7 +10751,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FEFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (5FFF); RUNTEST 10 TCK; @@ -10787,11 +10787,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (CFFF); +SDR 16 TDI (0CEB); RUNTEST 10 TCK; -SDR 16 TDI (B7FF); +SDR 16 TDI (B2B9); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -10799,9 +10799,9 @@ SDR 16 TDI (FBFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (F9FF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (5F83); +SDR 16 TDI (5FBF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -10835,11 +10835,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (CFFF); +SDR 16 TDI (0CEF); RUNTEST 10 TCK; -SDR 16 TDI (AFFF); +SDR 16 TDI (AAF9); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (787F); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -10847,9 +10847,9 @@ SDR 16 TDI (F7FF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (F9FF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7F43); +SDR 16 TDI (7F7F); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -10881,13 +10881,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FF0); RUNTEST 10 TCK; -SDR 16 TDI (CFFF); +SDR 16 TDI (250F); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BB78); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (037F); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -10895,9 +10895,9 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (F87F); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FC3); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -10929,13 +10929,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FE8); RUNTEST 10 TCK; -SDR 16 TDI (CFFF); +SDR 16 TDI (08DF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BA78); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (04FF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -10943,9 +10943,9 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (F87F); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (5FC3); +SDR 16 TDI (5FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -10955,7 +10955,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFBF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -10979,11 +10979,11 @@ SDR 16 TDI (3333); RUNTEST 10 TCK; SDR 16 TDI (67CC); RUNTEST 10 TCK; -SDR 16 TDI (ECC7); +SDR 16 TDI (CEE7); RUNTEST 10 TCK; -SDR 16 TDI (B999); +SDR 16 TDI (BB99); RUNTEST 10 TCK; -SDR 16 TDI (99E6); +SDR 16 TDI (BBE6); RUNTEST 10 TCK; SDR 16 TDI (6CCC); RUNTEST 10 TCK; @@ -10991,9 +10991,9 @@ SDR 16 TDI (7CCC); RUNTEST 10 TCK; SDR 16 TDI (B99E); RUNTEST 10 TCK; -SDR 16 TDI (6366); +SDR 16 TDI (6666); RUNTEST 10 TCK; -SDR 16 TDI (47DC); +SDR 16 TDI (47CC); RUNTEST 10 TCK; SDR 16 TDI (CCCF); RUNTEST 10 TCK; @@ -11025,13 +11025,13 @@ SDR 16 TDI (B74A); RUNTEST 10 TCK; SDR 16 TDI (BBBB); RUNTEST 10 TCK; -SDR 16 TDI (77DD); +SDR 16 TDI (77D9); RUNTEST 10 TCK; -SDR 16 TDI (CDD2); +SDR 16 TDI (DCC2); RUNTEST 10 TCK; -SDR 16 TDI (B5DD); +SDR 16 TDI (B1CD); RUNTEST 10 TCK; -SDR 16 TDI (DDEE); +SDR 16 TDI (99EE); RUNTEST 10 TCK; SDR 16 TDI (7DDD); RUNTEST 10 TCK; @@ -11039,9 +11039,9 @@ SDR 16 TDI (2AEE); RUNTEST 10 TCK; SDR 16 TDI (BDDE); RUNTEST 10 TCK; -SDR 16 TDI (EC6E); +SDR 16 TDI (EEEE); RUNTEST 10 TCK; -SDR 16 TDI (5A8E); +SDR 16 TDI (52AE); RUNTEST 10 TCK; SDR 16 TDI (EEEF); RUNTEST 10 TCK; @@ -11073,11 +11073,11 @@ SDR 16 TDI (BFFE); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FEF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EFFF); RUNTEST 10 TCK; -SDR 16 TDI (B7FF); +SDR 16 TDI (B7FB); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -11087,9 +11087,9 @@ SDR 16 TDI (FBFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FBFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FBB); +SDR 16 TDI (7FBF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -11099,7 +11099,7 @@ SDR 16 TDI (FFDF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FF7F); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -11123,9 +11123,9 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FEDF); RUNTEST 10 TCK; -SDR 16 TDI (BFEF); +SDR 16 TDI (BFFB); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -11137,7 +11137,7 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (5FEF); +SDR 16 TDI (5FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -11219,9 +11219,9 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FEFF); RUNTEST 10 TCK; -SDR 16 TDI (BFEF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -11233,7 +11233,7 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FDF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -11267,9 +11267,9 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFEF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFD); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -11293,7 +11293,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFF7); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BBFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -11363,7 +11363,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7EFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FBFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -11385,11 +11385,11 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (77FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFDF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -11411,7 +11411,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7EFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F7EF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -11433,7 +11433,7 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7BFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -12469,7 +12469,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFEF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -12523,17 +12523,17 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFF7); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FDFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FF7); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BDFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -12613,7 +12613,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFF7); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -12657,13 +12657,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (6FEF); +SDR 16 TDI (7FEF); RUNTEST 10 TCK; -SDR 16 TDI (FFBF); +SDR 16 TDI (6F7F); RUNTEST 10 TCK; -SDR 16 TDI (BFEB); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FBFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -12715,7 +12715,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFF7); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -12729,7 +12729,7 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (6FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -12753,13 +12753,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (76EF); +SDR 16 TDI (7EEF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFF7); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FDFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -12803,9 +12803,9 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFBF); +SDR 16 TDI (BF7F); RUNTEST 10 TCK; -SDR 16 TDI (BFFB); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -12825,23 +12825,23 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (6FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FF7F); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (BFDF); +SDR 16 TDI (EFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (5FBF); +SDR 16 TDI (5FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -12853,7 +12853,7 @@ SDR 16 TDI (7EFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFB); +SDR 16 TDI (BBFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -12869,7 +12869,7 @@ SDR 16 TDI (5FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BF7F); +SDR 16 TDI (BF7D); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -12879,7 +12879,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFB); +SDR 16 TDI (FFFE); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -12887,7 +12887,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F7FF); RUNTEST 10 TCK; SDR 16 TDI (5FFF); RUNTEST 10 TCK; @@ -12921,17 +12921,17 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7EFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFF7); +SDR 16 TDI (FFFD); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (DFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -12949,7 +12949,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BDFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -12975,17 +12975,17 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FF7F); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFBF); +SDR 16 TDI (F7FF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FBFF); RUNTEST 10 TCK; -SDR 16 TDI (5FBF); +SDR 16 TDI (5FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -12997,7 +12997,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFD); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -13013,23 +13013,23 @@ SDR 16 TDI (5FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BEFF); +SDR 16 TDI (BEFB); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7EFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFD); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFD); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -13043,7 +13043,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (BBFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -13065,7 +13065,7 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7BFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -13073,11 +13073,11 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFB); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FDFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFD); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -13119,7 +13119,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFEF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -13159,21 +13159,21 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BEFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFD); +SDR 16 TDI (FFFB); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7BFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFEF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FDFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFD); +SDR 16 TDI (BFFE); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -13187,7 +13187,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BBFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -13209,17 +13209,17 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFB); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (77FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FEEE); +SDR 16 TDI (FECE); RUNTEST 10 TCK; SDR 16 TDI (7FFD); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BDFF); RUNTEST 10 TCK; SDR 16 TDI (BFEE); RUNTEST 10 TCK; @@ -13235,7 +13235,7 @@ SDR 16 TDI (DDDF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (77BB); +SDR 16 TDI (FFBB); RUNTEST 10 TCK; SDR 16 TDI (BECE); RUNTEST 10 TCK; @@ -13257,7 +13257,7 @@ SDR 16 TDI (BFDC); RUNTEST 10 TCK; SDR 16 TDI (DFFF); RUNTEST 10 TCK; -SDR 16 TDI (737F); +SDR 16 TDI (777F); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -14995,7 +14995,7 @@ SDR 16 TDI (FFFF) TDO (BBBF); SDR 16 TDI (FFFF) TDO (BFEE); SDR 16 TDI (FFFF) TDO (EFFD); SDR 16 TDI (FFFF) TDO (7BBB); -SDR 16 TDI (FFFF) TDO (FFF2); +SDR 16 TDI (FFFF) TDO (FFF3); SDR 16 TDI (FFFF) TDO (BEFF); SDR 16 TDI (FFFF) TDO (DDED); SDR 16 TDI (FFFF) TDO (7FFF); @@ -15011,13 +15011,13 @@ SDR 16 TDI (FFFF) TDO (BBFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFE); SDR 16 TDI (FFFF) TDO (B9FF); SDR 16 TDI (FFFF) TDO (EE7F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFA); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -15029,7 +15029,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFE7); -SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -15048,52 +15048,52 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7EFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDFD); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (FEFE); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (BBFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B6FF); -SDR 16 TDI (FFFF) TDO (DDBF); +SDR 16 TDI (FFFF) TDO (B9FF); +SDR 16 TDI (FFFF) TDO (EE6F); SDR 16 TDI (FFFF) TDO (7EFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FEF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFEF); SDR 16 TDI (FFFF) TDO (7EFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BDFE); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFBF); SDR 16 TDI (FFFF) TDO (7EFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -15103,33 +15103,33 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (BEFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FF9F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FEF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -15138,14 +15138,14 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DEBF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -15160,11 +15160,11 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7EFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (BFF7); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -15175,9 +15175,9 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BBBF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -15186,13 +15186,13 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DD7F); -SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -15209,10 +15209,10 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7EFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -15228,7 +15228,7 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -15241,7 +15241,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -15260,7 +15260,7 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (A55F); +SDR 16 TDI (FFFF) TDO (AD5F); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -15273,10 +15273,10 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF4); +SDR 16 TDI (FFFF) TDO (FFF5); SDR 16 TDI (FFFF) TDO (7BFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (A7FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -15289,7 +15289,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFE); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BD5F); @@ -15420,7 +15420,7 @@ SDR 16 TDI (FFFF) TDO (B7FF); SDR 16 TDI (FFFF) TDO (FFF4); SDR 16 TDI (FFFF) TDO (7BFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (A7FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FBF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -15433,10 +15433,10 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFE); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B55F); +SDR 16 TDI (FFFF) TDO (BD5F); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -15449,7 +15449,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF4); +SDR 16 TDI (FFFF) TDO (FFF5); SDR 16 TDI (FFFF) TDO (7BFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -15468,7 +15468,7 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (B3BF); SDR 16 TDI (FFFF) TDO (3333); SDR 16 TDI (FFFF) TDO (63E6); SDR 16 TDI (FFFF) TDO (6667); @@ -15481,10 +15481,10 @@ SDR 16 TDI (FFFF) TDO (3333); SDR 16 TDI (FFFF) TDO (67CC); SDR 16 TDI (FFFF) TDO (CCC7); SDR 16 TDI (FFFF) TDO (B999); -SDR 16 TDI (FFFF) TDO (99FF); +SDR 16 TDI (FFFF) TDO (99DF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BBFF); SDR 16 TDI (FFFF) TDO (7777); SDR 16 TDI (FFFF) TDO (6957); SDR 16 TDI (FFFF) TDO (7777); @@ -15513,19 +15513,19 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (DFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FDFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -15551,7 +15551,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -15573,7 +15573,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FEFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -15592,7 +15592,7 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFEB); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -15640,7 +15640,7 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFD); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFF5); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -16040,7 +16040,7 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -16088,7 +16088,7 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -16105,7 +16105,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7EFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -16137,7 +16137,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -16170,7 +16170,7 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFF5); -SDR 16 TDI (FFFF) TDO (65FF); +SDR 16 TDI (FFFF) TDO (6DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -16186,7 +16186,7 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (75FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (A55F); SDR 16 TDI (FFFF) TDO (FFFF); @@ -16377,7 +16377,7 @@ SDR 16 TDI (FFFF) TDO (3333); SDR 16 TDI (FFFF) TDO (67CC); SDR 16 TDI (FFFF) TDO (CCC7); SDR 16 TDI (FFFF) TDO (B999); -SDR 16 TDI (FFFF) TDO (99FE); +SDR 16 TDI (FFFF) TDO (99DE); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -16409,7 +16409,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -16439,9 +16439,9 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BEFE); +SDR 16 TDI (FFFF) TDO (EFF7); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); @@ -16484,12 +16484,12 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BBFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FBF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFBB); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FEEF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -16502,10 +16502,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -16519,7 +16519,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7E7F); -SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -16532,12 +16532,12 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BBFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFB); +SDR 16 TDI (FFFF) TDO (FF7F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -16550,10 +16550,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7EBF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FFEF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -16567,7 +16567,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FEDF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -16582,8 +16582,8 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -16599,9 +16599,9 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (FFEF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (A55F); @@ -16616,7 +16616,7 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFD); SDR 16 TDI (FFFF) TDO (FFF5); SDR 16 TDI (FFFF) TDO (6BFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -16632,7 +16632,7 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFAF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -16647,9 +16647,9 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (FF5F); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (DFF5); SDR 16 TDI (FFFF) TDO (6BFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AABF); @@ -16663,9 +16663,9 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFEA); +SDR 16 TDI (FFFF) TDO (FDDF); +SDR 16 TDI (FFFF) TDO (BFF3); +SDR 16 TDI (FFFF) TDO (7BEA); SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -16680,7 +16680,7 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFDF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -16695,7 +16695,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFE); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -16711,8 +16711,8 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (BDBD); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -16728,9 +16728,9 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFC6); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (67FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -16743,9 +16743,9 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FE8F); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (DBFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (A55F); @@ -16759,9 +16759,9 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFE); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (FFF4); +SDR 16 TDI (FFFF) TDO (FC1F); +SDR 16 TDI (FFFF) TDO (B000); +SDR 16 TDI (FFFF) TDO (01F5); SDR 16 TDI (FFFF) TDO (79FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); @@ -16775,9 +16775,9 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFD); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (FC1D); +SDR 16 TDI (FFFF) TDO (B800); +SDR 16 TDI (FFFF) TDO (01FF); SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (B55F); @@ -16791,9 +16791,9 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (FC0F); +SDR 16 TDI (FFFF) TDO (B808); +SDR 16 TDI (FFFF) TDO (81F4); SDR 16 TDI (FFFF) TDO (7BFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -16807,9 +16807,9 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (FC0F); +SDR 16 TDI (FFFF) TDO (B800); +SDR 16 TDI (FFFF) TDO (81FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -16823,9 +16823,9 @@ SDR 16 TDI (FFFF) TDO (7999); SDR 16 TDI (FFFF) TDO (B31F); SDR 16 TDI (FFFF) TDO (3333); SDR 16 TDI (FFFF) TDO (67CC); -SDR 16 TDI (FFFF) TDO (CCC7); -SDR 16 TDI (FFFF) TDO (B999); -SDR 16 TDI (FFFF) TDO (99EB); +SDR 16 TDI (FFFF) TDO (CEC7); +SDR 16 TDI (FFFF) TDO (BB91); +SDR 16 TDI (FFFF) TDO (B9EB); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -16839,9 +16839,9 @@ SDR 16 TDI (FFFF) TDO (7BBB); SDR 16 TDI (FFFF) TDO (B74A); SDR 16 TDI (FFFF) TDO (BBBB); SDR 16 TDI (FFFF) TDO (77DD); -SDR 16 TDI (FFFF) TDO (DDD2); -SDR 16 TDI (FFFF) TDO (B5DD); -SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (DCCA); +SDR 16 TDI (FFFF) TDO (B19C); +SDR 16 TDI (FFFF) TDO (99FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -16856,8 +16856,8 @@ SDR 16 TDI (FFFF) TDO (BFFE); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (B737); +SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -16873,7 +16873,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -16886,11 +16886,11 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (EFEF); +SDR 16 TDI (FFFF) TDO (BBFF); SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -16902,7 +16902,7 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FEF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -16921,7 +16921,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (FFAF); SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -16934,10 +16934,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FBD); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -16948,7 +16948,7 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -16964,10 +16964,10 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7E7F); +SDR 16 TDI (FFFF) TDO (FFEF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -16982,10 +16982,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFE); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -16998,8 +16998,8 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7EFB); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -17017,7 +17017,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7EFF); SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -17030,8 +17030,8 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (FBDF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -17046,9 +17046,9 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -17063,9 +17063,9 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (BFEB); -SDR 16 TDI (FFFF) TDO (5EF5); +SDR 16 TDI (FFFF) TDO (FEE7); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF5); SDR 16 TDI (FFFF) TDO (69FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -17078,10 +17078,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFE); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FCFF); SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (A77F); @@ -17094,10 +17094,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7F5B); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (FD75); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFF5); SDR 16 TDI (FFFF) TDO (69FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (ABBF); @@ -17110,10 +17110,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (DF7E); SDR 16 TDI (FFFF) TDO (75FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -17127,9 +17127,9 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFE); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -17142,10 +17142,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (BDDD); +SDR 16 TDI (FFFF) TDO (7F9F); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FDFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -17158,10 +17158,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FBE); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBB7); -SDR 16 TDI (FFFF) TDO (5B7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BAAF); @@ -17174,10 +17174,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (FEFE); +SDR 16 TDI (FFFF) TDO (7FF9); +SDR 16 TDI (FFFF) TDO (EFD7); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (FDFE); SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -17190,10 +17190,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EFDF); -SDR 16 TDI (FFFF) TDO (BFF9); -SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7F4F); +SDR 16 TDI (FFFF) TDO (FDFE); +SDR 16 TDI (FFFF) TDO (BDBF); +SDR 16 TDI (FFFF) TDO (BE7F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (A55F); @@ -17206,10 +17206,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFE); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (CF0F); -SDR 16 TDI (FFFF) TDO (B180); -SDR 16 TDI (FFFF) TDO (0075); +SDR 16 TDI (FFFF) TDO (7F80); +SDR 16 TDI (FFFF) TDO (C00F); +SDR 16 TDI (FFFF) TDO (B019); +SDR 16 TDI (FFFF) TDO (98F5); SDR 16 TDI (FFFF) TDO (69FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); @@ -17222,10 +17222,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFD); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (CF0F); -SDR 16 TDI (FFFF) TDO (B986); -SDR 16 TDI (FFFF) TDO (007F); +SDR 16 TDI (FFFF) TDO (7F20); +SDR 16 TDI (FFFF) TDO (C00D); +SDR 16 TDI (FFFF) TDO (B819); +SDR 16 TDI (FFFF) TDO (997F); SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (B55F); @@ -17238,10 +17238,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (CF0F); -SDR 16 TDI (FFFF) TDO (B998); -SDR 16 TDI (FFFF) TDO (00F5); +SDR 16 TDI (FFFF) TDO (7F48); +SDR 16 TDI (FFFF) TDO (C007); +SDR 16 TDI (FFFF) TDO (B819); +SDR 16 TDI (FFFF) TDO (9C75); SDR 16 TDI (FFFF) TDO (6BFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -17254,10 +17254,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (CF0F); -SDR 16 TDI (FFFF) TDO (B980); -SDR 16 TDI (FFFF) TDO (007F); +SDR 16 TDI (FFFF) TDO (7F28); +SDR 16 TDI (FFFF) TDO (C00F); +SDR 16 TDI (FFFF) TDO (B819); +SDR 16 TDI (FFFF) TDO (9A7F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -17270,10 +17270,10 @@ SDR 16 TDI (FFFF) TDO (6666); SDR 16 TDI (FFFF) TDO (7999); SDR 16 TDI (FFFF) TDO (B31F); SDR 16 TDI (FFFF) TDO (3333); -SDR 16 TDI (FFFF) TDO (67CC); -SDR 16 TDI (FFFF) TDO (ECE7); -SDR 16 TDI (FFFF) TDO (B999); -SDR 16 TDI (FFFF) TDO (B97F); +SDR 16 TDI (FFFF) TDO (674C); +SDR 16 TDI (FFFF) TDO (ECEF); +SDR 16 TDI (FFFF) TDO (BB99); +SDR 16 TDI (FFFF) TDO (997F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -17286,9 +17286,9 @@ SDR 16 TDI (FFFF) TDO (7777); SDR 16 TDI (FFFF) TDO (7BBB); SDR 16 TDI (FFFF) TDO (B74A); SDR 16 TDI (FFFF) TDO (BBBB); -SDR 16 TDI (FFFF) TDO (77DD); -SDR 16 TDI (FFFF) TDO (CDCA); -SDR 16 TDI (FFFF) TDO (B1C9); +SDR 16 TDI (FFFF) TDO (77CD); +SDR 16 TDI (FFFF) TDO (CCCA); +SDR 16 TDI (FFFF) TDO (B199); SDR 16 TDI (FFFF) TDO (99FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -17302,10 +17302,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFE); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (F37F); +SDR 16 TDI (FFFF) TDO (7F6E); +SDR 16 TDI (FFFF) TDO (F77F); +SDR 16 TDI (FFFF) TDO (B77F); +SDR 16 TDI (FFFF) TDO (FB7F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -17318,10 +17318,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -17334,10 +17334,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FE6F); +SDR 16 TDI (FFFF) TDO (BBBA); +SDR 16 TDI (FFFF) TDO (FBFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); @@ -17351,9 +17351,9 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FF7F); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFF); SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); @@ -17366,9 +17366,9 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FEE); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -17384,9 +17384,9 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -17416,8 +17416,8 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7EFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (FEF7); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -17432,7 +17432,7 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -17446,10 +17446,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7EFB); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BFDF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -17462,10 +17462,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7EED); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (FDDF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (FEFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -17478,10 +17478,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FDD); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FDDF); +SDR 16 TDI (FFFF) TDO (BFD3); +SDR 16 TDI (FFFF) TDO (EBFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -17494,10 +17494,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FEFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (A55F); @@ -17512,8 +17512,8 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (FFF4); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFF5); SDR 16 TDI (FFFF) TDO (79FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); @@ -17527,9 +17527,9 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (BFCF); +SDR 16 TDI (FFFF) TDO (FDFE); SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (A55F); @@ -17543,9 +17543,9 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDD); -SDR 16 TDI (FFFF) TDO (5574); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF5); SDR 16 TDI (FFFF) TDO (7BFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AAAF); @@ -17558,11 +17558,11 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFE); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFBF); SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (67FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -17575,8 +17575,8 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BFF5); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -17591,9 +17591,9 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFF5); -SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -17606,10 +17606,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (BFBE); -SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (FDFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BAFF); @@ -17624,8 +17624,8 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFD1); -SDR 16 TDI (FFFF) TDO (99EE); +SDR 16 TDI (FFFF) TDO (BFE7); +SDR 16 TDI (FFFF) TDO (9FEE); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -17639,8 +17639,8 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FCED); +SDR 16 TDI (FFFF) TDO (BB9F); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -17654,10 +17654,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFE); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFCF); -SDR 16 TDI (FFFF) TDO (B002); -SDR 16 TDI (FFFF) TDO (00F5); +SDR 16 TDI (FFFF) TDO (7FF3); +SDR 16 TDI (FFFF) TDO (F33F); +SDR 16 TDI (FFFF) TDO (B18E); +SDR 16 TDI (FFFF) TDO (6075); SDR 16 TDI (FFFF) TDO (67FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); @@ -17670,10 +17670,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFD); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFCF); -SDR 16 TDI (FFFF) TDO (A81A); -SDR 16 TDI (FFFF) TDO (00FF); +SDR 16 TDI (FFFF) TDO (7FF3); +SDR 16 TDI (FFFF) TDO (F33F); +SDR 16 TDI (FFFF) TDO (B996); +SDR 16 TDI (FFFF) TDO (607F); SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (B55F); @@ -17686,10 +17686,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFCF); -SDR 16 TDI (FFFF) TDO (B800); -SDR 16 TDI (FFFF) TDO (4075); +SDR 16 TDI (FFFF) TDO (7FF3); +SDR 16 TDI (FFFF) TDO (F03F); +SDR 16 TDI (FFFF) TDO (B9C6); +SDR 16 TDI (FFFF) TDO (0075); SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -17702,10 +17702,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFCF); -SDR 16 TDI (FFFF) TDO (B800); -SDR 16 TDI (FFFF) TDO (407F); +SDR 16 TDI (FFFF) TDO (7FF3); +SDR 16 TDI (FFFF) TDO (F03F); +SDR 16 TDI (FFFF) TDO (B9A6); +SDR 16 TDI (FFFF) TDO (002F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -17718,10 +17718,10 @@ SDR 16 TDI (FFFF) TDO (6666); SDR 16 TDI (FFFF) TDO (7999); SDR 16 TDI (FFFF) TDO (B31F); SDR 16 TDI (FFFF) TDO (3333); -SDR 16 TDI (FFFF) TDO (67CC); -SDR 16 TDI (FFFF) TDO (CCE7); -SDR 16 TDI (FFFF) TDO (BB88); -SDR 16 TDI (FFFF) TDO (89DE); +SDR 16 TDI (FFFF) TDO (67CE); +SDR 16 TDI (FFFF) TDO (C4E7); +SDR 16 TDI (FFFF) TDO (BBB3); +SDR 16 TDI (FFFF) TDO (93FE); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -17734,10 +17734,10 @@ SDR 16 TDI (FFFF) TDO (7777); SDR 16 TDI (FFFF) TDO (7BBB); SDR 16 TDI (FFFF) TDO (B74A); SDR 16 TDI (FFFF) TDO (BBBB); -SDR 16 TDI (FFFF) TDO (77DD); -SDR 16 TDI (FFFF) TDO (DDCA); -SDR 16 TDI (FFFF) TDO (B1DD); -SDR 16 TDI (FFFF) TDO (DCFF); +SDR 16 TDI (FFFF) TDO (77DC); +SDR 16 TDI (FFFF) TDO (D8CA); +SDR 16 TDI (FFFF) TDO (B189); +SDR 16 TDI (FFFF) TDO (99FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -17751,9 +17751,9 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFE); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B77F); -SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (B7F7); +SDR 16 TDI (FFFF) TDO (B74F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -17766,6 +17766,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (BCBF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -17781,14 +17785,10 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FEF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -17798,9 +17798,9 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFDF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -17816,7 +17816,7 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (BD7F); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -17827,13 +17827,13 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -17844,11 +17844,11 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -17859,13 +17859,13 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7EBF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (BEFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -17875,13 +17875,13 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BCFF); -SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -17897,7 +17897,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7EFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FD7); +SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -17910,10 +17910,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7E69); -SDR 16 TDI (FFFF) TDO (DBDF); +SDR 16 TDI (FFFF) TDO (7EAF); +SDR 16 TDI (FFFF) TDO (FDDF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -17926,11 +17926,7 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DEDF); -SDR 16 TDI (FFFF) TDO (BFAF); -SDR 16 TDI (FFFF) TDO (7FD5); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7F7F); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -17942,10 +17938,14 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7F9A); -SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFBF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (A55F); @@ -17958,11 +17958,11 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (BEFB); -SDR 16 TDI (FFFF) TDO (FDF4); -SDR 16 TDI (FFFF) TDO (75FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (65FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -17975,9 +17975,9 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (A55F); @@ -17991,9 +17991,9 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (BFD7); -SDR 16 TDI (FFFF) TDO (DF75); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF5); SDR 16 TDI (FFFF) TDO (65FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AAFF); @@ -18006,10 +18006,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (CFFE); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (BF7E); SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -18022,10 +18022,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (BD7F); -SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -18038,9 +18038,13 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7F5F); +SDR 16 TDI (FFFF) TDO (FF5F); +SDR 16 TDI (FFFF) TDO (BFD7); +SDR 16 TDI (FFFF) TDO (FD7F); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F76E); -SDR 16 TDI (FFFF) TDO (BBB7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -18055,10 +18059,6 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EEBE); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BAAF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -18070,10 +18070,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FCE); -SDR 16 TDI (FFFF) TDO (916F); -SDR 16 TDI (FFFF) TDO (BFA1); -SDR 16 TDI (FFFF) TDO (EE7E); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFE7); +SDR 16 TDI (FFFF) TDO (FF7E); SDR 16 TDI (FFFF) TDO (7BFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -18086,10 +18086,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BC5F); -SDR 16 TDI (FFFF) TDO (F5FF); +SDR 16 TDI (FFFF) TDO (7FAF); +SDR 16 TDI (FFFF) TDO (FFAF); +SDR 16 TDI (FFFF) TDO (BDBF); +SDR 16 TDI (FFFF) TDO (BAFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (A55F); @@ -18102,11 +18102,11 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFE); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FF3); -SDR 16 TDI (FFFF) TDO (202F); -SDR 16 TDI (FFFF) TDO (B787); -SDR 16 TDI (FFFF) TDO (FDF5); -SDR 16 TDI (FFFF) TDO (6DFF); +SDR 16 TDI (FFFF) TDO (7F2F); +SDR 16 TDI (FFFF) TDO (FF0F); +SDR 16 TDI (FFFF) TDO (B007); +SDR 16 TDI (FFFF) TDO (E074); +SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -18118,11 +18118,11 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFD); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FF3); -SDR 16 TDI (FFFF) TDO (200D); -SDR 16 TDI (FFFF) TDO (B9A7); -SDR 16 TDI (FFFF) TDO (987E); -SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (7F0F); +SDR 16 TDI (FFFF) TDO (FF8F); +SDR 16 TDI (FFFF) TDO (A827); +SDR 16 TDI (FFFF) TDO (E27E); +SDR 16 TDI (FFFF) TDO (75FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (B55F); SDR 16 TDI (FFFF) TDO (FFFF); @@ -18134,11 +18134,11 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7F33); -SDR 16 TDI (FFFF) TDO (D41F); -SDR 16 TDI (FFFF) TDO (BD81); -SDR 16 TDI (FFFF) TDO (DFF5); -SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (7F1F); +SDR 16 TDI (FFFF) TDO (FF0F); +SDR 16 TDI (FFFF) TDO (B807); +SDR 16 TDI (FFFF) TDO (E075); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -18150,10 +18150,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7F33); -SDR 16 TDI (FFFF) TDO (D00F); -SDR 16 TDI (FFFF) TDO (B981); -SDR 16 TDI (FFFF) TDO (9C7F); +SDR 16 TDI (FFFF) TDO (7F0F); +SDR 16 TDI (FFFF) TDO (FF8F); +SDR 16 TDI (FFFF) TDO (B847); +SDR 16 TDI (FFFF) TDO (E42F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -18166,10 +18166,10 @@ SDR 16 TDI (FFFF) TDO (6666); SDR 16 TDI (FFFF) TDO (7999); SDR 16 TDI (FFFF) TDO (B31F); SDR 16 TDI (FFFF) TDO (3333); -SDR 16 TDI (FFFF) TDO (67EE); -SDR 16 TDI (FFFF) TDO (C0C7); -SDR 16 TDI (FFFF) TDO (B993); -SDR 16 TDI (FFFF) TDO (9DFD); +SDR 16 TDI (FFFF) TDO (678C); +SDR 16 TDI (FFFF) TDO (CC87); +SDR 16 TDI (FFFF) TDO (BB89); +SDR 16 TDI (FFFF) TDO (B9FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -18182,10 +18182,10 @@ SDR 16 TDI (FFFF) TDO (7777); SDR 16 TDI (FFFF) TDO (7BBB); SDR 16 TDI (FFFF) TDO (B74A); SDR 16 TDI (FFFF) TDO (BBBB); -SDR 16 TDI (FFFF) TDO (77CC); -SDR 16 TDI (FFFF) TDO (CCDA); -SDR 16 TDI (FFFF) TDO (B199); -SDR 16 TDI (FFFF) TDO (99FB); +SDR 16 TDI (FFFF) TDO (77DD); +SDR 16 TDI (FFFF) TDO (DDDA); +SDR 16 TDI (FFFF) TDO (B1DD); +SDR 16 TDI (FFFF) TDO (9CEF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -18199,9 +18199,9 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFE); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (B7B7); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B77F); +SDR 16 TDI (FFFF) TDO (FFBF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -18214,11 +18214,7 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FEBF); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFD); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -18230,27 +18226,15 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FBDF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FD7F); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -18263,12 +18247,12 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (DFFF); SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (AFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -18278,11 +18262,11 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFD); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -18294,10 +18278,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BFDF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -18307,14 +18291,10 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FDFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7EFE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FBF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -18330,6 +18310,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7E6D); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FEFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -18339,12 +18323,12 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FDFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BFDF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -18359,8 +18343,12 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -18370,12 +18358,16 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7EBB); +SDR 16 TDI (FFFF) TDO (FEDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FAEF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (FD5F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -18383,6 +18375,10 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -18394,6 +18390,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FBB); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FAEF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (A55F); @@ -18408,9 +18408,9 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FFF5); -SDR 16 TDI (FFFF) TDO (6DFF); +SDR 16 TDI (FFFF) TDO (BDBF); +SDR 16 TDI (FFFF) TDO (DFF5); +SDR 16 TDI (FFFF) TDO (75FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -18423,10 +18423,10 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EBFF); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (75FF); +SDR 16 TDI (FFFF) TDO (D9DF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (A55F); SDR 16 TDI (FFFF) TDO (FFFF); @@ -18438,11 +18438,11 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (BBBB); -SDR 16 TDI (FFFF) TDO (BFF5); -SDR 16 TDI (FFFF) TDO (69FF); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BF77); +SDR 16 TDI (FFFF) TDO (FFF4); +SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AAAF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -18454,15 +18454,11 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (7F7D); SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (F7FE); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FF7E); +SDR 16 TDI (FFFF) TDO (79FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -18473,7 +18469,11 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FEB); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (BBFB); +SDR 16 TDI (FFFF) TDO (FBFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -18486,10 +18486,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (BBDD); -SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (BF6F); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -18502,10 +18502,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (DEFF); -SDR 16 TDI (FFFF) TDO (BFBB); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (57FE); +SDR 16 TDI (FFFF) TDO (BF5D); +SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BAAF); @@ -18518,10 +18518,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FBFE); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (B8EB); +SDR 16 TDI (FFFF) TDO (FEFE); SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -18534,10 +18534,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FF9); -SDR 16 TDI (FFFF) TDO (F1FF); -SDR 16 TDI (FFFF) TDO (BD45); -SDR 16 TDI (FFFF) TDO (C7FF); +SDR 16 TDI (FFFF) TDO (7FE8); +SDR 16 TDI (FFFF) TDO (E9DF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FBFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (A55F); @@ -18550,10 +18550,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFE); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FF4); -SDR 16 TDI (FFFF) TDO (0ECB); -SDR 16 TDI (FFFF) TDO (B659); -SDR 16 TDI (FFFF) TDO (B9F5); +SDR 16 TDI (FFFF) TDO (7FD2); +SDR 16 TDI (FFFF) TDO (0B0F); +SDR 16 TDI (FFFF) TDO (B058); +SDR 16 TDI (FFFF) TDO (7F75); SDR 16 TDI (FFFF) TDO (69FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); @@ -18566,10 +18566,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFD); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FF4); -SDR 16 TDI (FFFF) TDO (0FCF); -SDR 16 TDI (FFFF) TDO (A819); -SDR 16 TDI (FFFF) TDO (A1FF); +SDR 16 TDI (FFFF) TDO (7FD2); +SDR 16 TDI (FFFF) TDO (0B0D); +SDR 16 TDI (FFFF) TDO (BA00); +SDR 16 TDI (FFFF) TDO (7F7F); SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (B55F); @@ -18582,10 +18582,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FF0); -SDR 16 TDI (FFFF) TDO (0FCF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (79F5); +SDR 16 TDI (FFFF) TDO (7FED); +SDR 16 TDI (FFFF) TDO (4B0F); +SDR 16 TDI (FFFF) TDO (B87E); +SDR 16 TDI (FFFF) TDO (0775); SDR 16 TDI (FFFF) TDO (6BFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -18598,10 +18598,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FF0); -SDR 16 TDI (FFFF) TDO (0FCF); -SDR 16 TDI (FFFF) TDO (B87E); -SDR 16 TDI (FFFF) TDO (01FF); +SDR 16 TDI (FFFF) TDO (7FED); +SDR 16 TDI (FFFF) TDO (030F); +SDR 16 TDI (FFFF) TDO (B840); +SDR 16 TDI (FFFF) TDO (073F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -18614,10 +18614,10 @@ SDR 16 TDI (FFFF) TDO (6666); SDR 16 TDI (FFFF) TDO (7999); SDR 16 TDI (FFFF) TDO (B31F); SDR 16 TDI (FFFF) TDO (3333); -SDR 16 TDI (FFFF) TDO (67CC); -SDR 16 TDI (FFFF) TDO (C8D7); -SDR 16 TDI (FFFF) TDO (B98B); -SDR 16 TDI (FFFF) TDO (B9FD); +SDR 16 TDI (FFFF) TDO (67EC); +SDR 16 TDI (FFFF) TDO (4DC7); +SDR 16 TDI (FFFF) TDO (B859); +SDR 16 TDI (FFFF) TDO (BBFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -18630,10 +18630,10 @@ SDR 16 TDI (FFFF) TDO (7777); SDR 16 TDI (FFFF) TDO (7BBB); SDR 16 TDI (FFFF) TDO (B74A); SDR 16 TDI (FFFF) TDO (BBBB); -SDR 16 TDI (FFFF) TDO (77DC); -SDR 16 TDI (FFFF) TDO (CDCA); -SDR 16 TDI (FFFF) TDO (B4D9); -SDR 16 TDI (FFFF) TDO (99FB); +SDR 16 TDI (FFFF) TDO (77CC); +SDR 16 TDI (FFFF) TDO (8CCA); +SDR 16 TDI (FFFF) TDO (B19D); +SDR 16 TDI (FFFF) TDO (99FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -18647,9 +18647,9 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFE); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (B37F); +SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -18662,9 +18662,9 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FDD); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (BEFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -18679,9 +18679,9 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (BDFE); +SDR 16 TDI (FFFF) TDO (FEFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); @@ -18694,9 +18694,9 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FED); +SDR 16 TDI (FFFF) TDO (FF6F); +SDR 16 TDI (FFFF) TDO (BCFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -18711,7 +18711,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FDFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7DFF); @@ -18726,10 +18726,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (DFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -18739,10 +18739,10 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FDFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -18758,10 +18758,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (7E7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFBF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FEFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -18771,13 +18771,13 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FDFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEFF); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -18791,7 +18791,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FBFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -18809,7 +18809,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7EFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -18823,9 +18823,9 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FD7F); -SDR 16 TDI (FFFF) TDO (BDFE); -SDR 16 TDI (FFFF) TDO (CFBF); +SDR 16 TDI (FFFF) TDO (F55F); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (BFBF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -18854,10 +18854,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (EFBF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -18870,10 +18870,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BF74); -SDR 16 TDI (FFFF) TDO (F77F); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (A77F); @@ -18886,10 +18886,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (DFEF); -SDR 16 TDI (FFFF) TDO (BDDF); -SDR 16 TDI (FFFF) TDO (5DBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BEBF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (FFBF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (ABFF); @@ -18902,10 +18902,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (BBEF); -SDR 16 TDI (FFFF) TDO (F3BF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (EEBF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -18918,10 +18918,6 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FEB); -SDR 16 TDI (FFFF) TDO (EEFF); -SDR 16 TDI (FFFF) TDO (BEBF); -SDR 16 TDI (FFFF) TDO (DFBF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -18932,16 +18928,16 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FBD); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF5); +SDR 16 TDI (FFFF) TDO (DFDF); +SDR 16 TDI (FFFF) TDO (BBAF); +SDR 16 TDI (FFFF) TDO (DD3F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -18951,9 +18947,13 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FDDF); -SDR 16 TDI (FFFF) TDO (BAFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (FFBF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BABF); @@ -18966,10 +18966,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FE7); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (BF75); -SDR 16 TDI (FFFF) TDO (F77F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (BFE7); +SDR 16 TDI (FFFF) TDO (FFBF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -18982,10 +18982,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7F18); -SDR 16 TDI (FFFF) TDO (83FF); -SDR 16 TDI (FFFF) TDO (BD8B); -SDR 16 TDI (FFFF) TDO (89DF); +SDR 16 TDI (FFFF) TDO (7FD0); +SDR 16 TDI (FFFF) TDO (AF2F); +SDR 16 TDI (FFFF) TDO (BFDB); +SDR 16 TDI (FFFF) TDO (885F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (A55F); @@ -18998,10 +18998,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFE); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFA); -SDR 16 TDI (FFFF) TDO (FF0B); -SDR 16 TDI (FFFF) TDO (B6AD); -SDR 16 TDI (FFFF) TDO (A9FF); +SDR 16 TDI (FFFF) TDO (7F0E); +SDR 16 TDI (FFFF) TDO (A02F); +SDR 16 TDI (FFFF) TDO (B199); +SDR 16 TDI (FFFF) TDO (85DF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); @@ -19014,10 +19014,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFD); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFA); -SDR 16 TDI (FFFF) TDO (FF0F); -SDR 16 TDI (FFFF) TDO (AE80); -SDR 16 TDI (FFFF) TDO (803F); +SDR 16 TDI (FFFF) TDO (7F0F); +SDR 16 TDI (FFFF) TDO (A00F); +SDR 16 TDI (FFFF) TDO (A991); +SDR 16 TDI (FFFF) TDO (819F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (B55F); @@ -19030,10 +19030,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7F89); -SDR 16 TDI (FFFF) TDO (080F); -SDR 16 TDI (FFFF) TDO (B800); -SDR 16 TDI (FFFF) TDO (1F9F); +SDR 16 TDI (FFFF) TDO (7F0F); +SDR 16 TDI (FFFF) TDO (80DF); +SDR 16 TDI (FFFF) TDO (B9F9); +SDR 16 TDI (FFFF) TDO (A79F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -19046,10 +19046,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FC3); -SDR 16 TDI (FFFF) TDO (2C0F); -SDR 16 TDI (FFFF) TDO (BEA0); -SDR 16 TDI (FFFF) TDO (1FFF); +SDR 16 TDI (FFFF) TDO (7F0F); +SDR 16 TDI (FFFF) TDO (80CF); +SDR 16 TDI (FFFF) TDO (B9F9); +SDR 16 TDI (FFFF) TDO (A7BF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -19062,9 +19062,9 @@ SDR 16 TDI (FFFF) TDO (6666); SDR 16 TDI (FFFF) TDO (7999); SDR 16 TDI (FFFF) TDO (B31F); SDR 16 TDI (FFFF) TDO (3333); -SDR 16 TDI (FFFF) TDO (67CE); -SDR 16 TDI (FFFF) TDO (C8C7); -SDR 16 TDI (FFFF) TDO (BB9B); +SDR 16 TDI (FFFF) TDO (67C8); +SDR 16 TDI (FFFF) TDO (CCE7); +SDR 16 TDI (FFFF) TDO (BB99); SDR 16 TDI (FFFF) TDO (99FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -19078,9 +19078,9 @@ SDR 16 TDI (FFFF) TDO (7777); SDR 16 TDI (FFFF) TDO (7BBB); SDR 16 TDI (FFFF) TDO (B74A); SDR 16 TDI (FFFF) TDO (BBBB); -SDR 16 TDI (FFFF) TDO (779C); -SDR 16 TDI (FFFF) TDO (DDCA); -SDR 16 TDI (FFFF) TDO (B1D9); +SDR 16 TDI (FFFF) TDO (77CD); +SDR 16 TDI (FFFF) TDO (9CCA); +SDR 16 TDI (FFFF) TDO (B199); SDR 16 TDI (FFFF) TDO (9CBB); SDR 16 TDI (FFFF) TDO (777F); SDR 16 TDI (FFFF) TDO (FFFB); @@ -19102,9 +19102,9 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFE); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EF7F); -SDR 16 TDI (FFFF) TDO (B7BF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (B7FF); SDR 16 TDI (FFFF) TDO (FFF7); SDR 16 TDI (FFFF) TDO (6EEF); SDR 16 TDI (FFFF) TDO (FFDD); @@ -19126,11 +19126,11 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -19151,15 +19151,15 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7EF6); -SDR 16 TDI (FFFF) TDO (FF5F); -SDR 16 TDI (FFFF) TDO (BDBF); -SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -19175,9 +19175,9 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (FFAF); -SDR 16 TDI (FFFF) TDO (BB7F); -SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -19198,16 +19198,12 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -19228,14 +19224,14 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FBFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -19250,10 +19246,14 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (DFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -19270,16 +19270,16 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (7EFE); +SDR 16 TDI (FFFF) TDO (F7EF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFFD); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FBFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -19295,13 +19295,13 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -19318,16 +19318,16 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (7FFB); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -19344,12 +19344,8 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7EFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (BDFD); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFE); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -19359,7 +19355,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (DFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -19369,13 +19365,13 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FD7F); +SDR 16 TDI (FFFF) TDO (BFBD); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (FDDE); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F7F7); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -19383,27 +19379,31 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFBF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (DFFF); SDR 16 TDI (FFFF) TDO (A55F); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -19422,12 +19422,12 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FAA7); +SDR 16 TDI (FFFF) TDO (FA97); SDR 16 TDI (FFFF) TDO (AFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -19438,16 +19438,16 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FBA); -SDR 16 TDI (FFFF) TDO (AAEF); -SDR 16 TDI (FFFF) TDO (BABA); -SDR 16 TDI (FFFF) TDO (ABFF); +SDR 16 TDI (FFFF) TDO (7FBE); +SDR 16 TDI (FFFF) TDO (EEDF); +SDR 16 TDI (FFFF) TDO (BEEC); +SDR 16 TDI (FFFF) TDO (CFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7EF); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -19462,16 +19462,16 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FDB); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (7FEF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBBE); +SDR 16 TDI (FFFF) TDO (FDFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (76FF); -SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -19487,15 +19487,15 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (BEEF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -19510,16 +19510,16 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FAF); -SDR 16 TDI (FFFF) TDO (AFAF); -SDR 16 TDI (FFFF) TDO (BAFA); -SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FBE); +SDR 16 TDI (FFFF) TDO (EB6F); +SDR 16 TDI (FFFF) TDO (BBBB); +SDR 16 TDI (FFFF) TDO (EB7F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F77F); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -19534,16 +19534,16 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (BFCF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (FBBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DEFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -19558,16 +19558,16 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FBD); -SDR 16 TDI (FFFF) TDO (B3BF); -SDR 16 TDI (FFFF) TDO (BEF6); -SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FEEF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FBFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (EF7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -19582,16 +19582,16 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FE7); -SDR 16 TDI (FFFF) TDO (CCEF); -SDR 16 TDI (FFFF) TDO (B989); -SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (F79F); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (FCFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (7FDE); -SDR 16 TDI (FFFF) TDO (F5BF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -19606,16 +19606,16 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7F5A); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (7F2C); +SDR 16 TDI (FFFF) TDO (897F); +SDR 16 TDI (FFFF) TDO (BA21); +SDR 16 TDI (FFFF) TDO (077F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EF7F); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FEDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -19630,16 +19630,16 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFE); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FF3); -SDR 16 TDI (FFFF) TDO (CE4B); -SDR 16 TDI (FFFF) TDO (B2B8); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7F0C); +SDR 16 TDI (FFFF) TDO (CFFB); +SDR 16 TDI (FFFF) TDO (B622); +SDR 16 TDI (FFFF) TDO (87FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FBFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (8180); -SDR 16 TDI (FFFF) TDO (6FB0); -SDR 16 TDI (FFFF) TDO (1073); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -19654,20 +19654,20 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFD); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FF3); -SDR 16 TDI (FFFF) TDO (CE4F); -SDR 16 TDI (FFFF) TDO (AAF8); -SDR 16 TDI (FFFF) TDO (07FF); +SDR 16 TDI (FFFF) TDO (7F2C); +SDR 16 TDI (FFFF) TDO (C3FF); +SDR 16 TDI (FFFF) TDO (AF10); +SDR 16 TDI (FFFF) TDO (8FFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (8180); -SDR 16 TDI (FFFF) TDO (6FF0); -SDR 16 TDI (FFFF) TDO (1133); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFBF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFE7); +SDR 16 TDI (FFFF) TDO (FFF7); SDR 16 TDI (FFFF) TDO (B55F); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -19679,19 +19679,19 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FF3); -SDR 16 TDI (FFFF) TDO (500F); -SDR 16 TDI (FFFF) TDO (BB7A); -SDR 16 TDI (FFFF) TDO (307F); +SDR 16 TDI (FFFF) TDO (D68F); +SDR 16 TDI (FFFF) TDO (BE44); +SDR 16 TDI (FFFF) TDO (80FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (8180); -SDR 16 TDI (FFFF) TDO (67F0); -SDR 16 TDI (FFFF) TDO (10B3); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FABF); +SDR 16 TDI (FFFF) TDO (FA6F); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -19702,20 +19702,20 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FEB); -SDR 16 TDI (FFFF) TDO (8D0F); -SDR 16 TDI (FFFF) TDO (9A78); -SDR 16 TDI (FFFF) TDO (487F); +SDR 16 TDI (FFFF) TDO (7F73); +SDR 16 TDI (FFFF) TDO (D2CF); +SDR 16 TDI (FFFF) TDO (9E06); +SDR 16 TDI (FFFF) TDO (B9FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (8180); -SDR 16 TDI (FFFF) TDO (6FF0); -SDR 16 TDI (FFFF) TDO (1133); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (3333); SDR 16 TDI (FFFF) TDO (63E6); @@ -19726,16 +19726,16 @@ SDR 16 TDI (FFFF) TDO (6666); SDR 16 TDI (FFFF) TDO (7999); SDR 16 TDI (FFFF) TDO (B31F); SDR 16 TDI (FFFF) TDO (3333); -SDR 16 TDI (FFFF) TDO (67CC); -SDR 16 TDI (FFFF) TDO (EEC7); -SDR 16 TDI (FFFF) TDO (9B99); -SDR 16 TDI (FFFF) TDO (BBE6); +SDR 16 TDI (FFFF) TDO (67EE); +SDR 16 TDI (FFFF) TDO (8E87); +SDR 16 TDI (FFFF) TDO (999B); +SDR 16 TDI (FFFF) TDO (B8E6); SDR 16 TDI (FFFF) TDO (6CCC); SDR 16 TDI (FFFF) TDO (7CCC); SDR 16 TDI (FFFF) TDO (999E); -SDR 16 TDI (FFFF) TDO (7667); -SDR 16 TDI (FFFF) TDO (6FCD); -SDR 16 TDI (FFFF) TDO (E88F); +SDR 16 TDI (FFFF) TDO (6666); +SDR 16 TDI (FFFF) TDO (67CC); +SDR 16 TDI (FFFF) TDO (CCCF); SDR 16 TDI (FFFF) TDO (A666); SDR 16 TDI (FFFF) TDO (63E6); SDR 16 TDI (FFFF) TDO (6CCC); @@ -19750,16 +19750,16 @@ SDR 16 TDI (FFFF) TDO (7777); SDR 16 TDI (FFFF) TDO (7BBB); SDR 16 TDI (FFFF) TDO (B74A); SDR 16 TDI (FFFF) TDO (BBBB); -SDR 16 TDI (FFFF) TDO (77D9); -SDR 16 TDI (FFFF) TDO (CCD2); -SDR 16 TDI (FFFF) TDO (B1CD); -SDR 16 TDI (FFFF) TDO (99EE); +SDR 16 TDI (FFFF) TDO (77CC); +SDR 16 TDI (FFFF) TDO (DCD2); +SDR 16 TDI (FFFF) TDO (B5D9); +SDR 16 TDI (FFFF) TDO (9DEE); SDR 16 TDI (FFFF) TDO (7DDD); SDR 16 TDI (FFFF) TDO (2AEE); SDR 16 TDI (FFFF) TDO (9DDE); -SDR 16 TDI (FFFF) TDO (E666); -SDR 16 TDI (FFFF) TDO (6A8C); -SDR 16 TDI (FFFF) TDO (CCCF); +SDR 16 TDI (FFFF) TDO (EEEE); +SDR 16 TDI (FFFF) TDO (72AE); +SDR 16 TDI (FFFF) TDO (EEEF); SDR 16 TDI (FFFF) TDO (AEEE); SDR 16 TDI (FFFF) TDO (E957); SDR 16 TDI (FFFF) TDO (6EEE); @@ -19774,20 +19774,20 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFE); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (B7FB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B3BF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FBFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFBB); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FBF); -SDR 16 TDI (FFFF) TDO (9BBF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -19805,8 +19805,8 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -19822,14 +19822,14 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFE); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (BFBF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -19853,8 +19853,8 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -19872,7 +19872,7 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9BFF); +SDR 16 TDI (FFFF) TDO (9FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -19887,7 +19887,7 @@ SDR 16 TDI (FFFF) TDO (FFF7); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFD); SDR 16 TDI (FFFF) TDO (9FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -19897,7 +19897,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); @@ -19913,13 +19913,13 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -19943,9 +19943,9 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (B6FF); +SDR 16 TDI (FFFF) TDO (EEEF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (FBFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -19959,9 +19959,9 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -19997,13 +19997,13 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -20015,13 +20015,13 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (9DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -20038,14 +20038,14 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FD7F); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FDFF); SDR 16 TDI (FFFF) TDO (9FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -20075,7 +20075,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (A55F); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -20086,20 +20086,20 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FDD); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (9FF7); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FAA7); +SDR 16 TDI (FFFF) TDO (FAB7); SDR 16 TDI (FFFF) TDO (AFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -20110,10 +20110,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FBB); +SDR 16 TDI (FFFF) TDO (AABF); +SDR 16 TDI (FFFF) TDO (9ABB); +SDR 16 TDI (FFFF) TDO (BAFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); @@ -20123,7 +20123,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (FFE7); SDR 16 TDI (FFFF) TDO (A55F); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -20134,14 +20134,14 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BFEF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -20158,8 +20158,8 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -20182,6 +20182,10 @@ SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (BEFE); +SDR 16 TDI (FFFF) TDO (FEFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -20189,10 +20193,6 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -20206,9 +20206,9 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (BBDB); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -20230,15 +20230,15 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FBB); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (BEBE); +SDR 16 TDI (FFFF) TDO (FEFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (5FEF); +SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -20254,10 +20254,10 @@ SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7F6D); +SDR 16 TDI (FFFF) TDO (66BF); +SDR 16 TDI (FFFF) TDO (BB61); +SDR 16 TDI (FFFF) TDO (FF7F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -20278,14 +20278,14 @@ SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FD6); +SDR 16 TDI (FFFF) TDO (DD4F); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (DDFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -20303,14 +20303,14 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFE); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (CFFF); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (0CEB); +SDR 16 TDI (FFFF) TDO (B2B9); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FBFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F9FF); -SDR 16 TDI (FFFF) TDO (5F83); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FBF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFDF); @@ -20327,14 +20327,14 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFD); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (CFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (0CEF); +SDR 16 TDI (FFFF) TDO (AAF9); +SDR 16 TDI (FFFF) TDO (787F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F9FF); -SDR 16 TDI (FFFF) TDO (7F43); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7F7F); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFBF); @@ -20350,17 +20350,17 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF0); +SDR 16 TDI (FFFF) TDO (250F); +SDR 16 TDI (FFFF) TDO (BB78); +SDR 16 TDI (FFFF) TDO (037F); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (CFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F87F); -SDR 16 TDI (FFFF) TDO (7FC3); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FAAF); @@ -20374,20 +20374,20 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (CFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FE8); +SDR 16 TDI (FFFF) TDO (08DF); +SDR 16 TDI (FFFF) TDO (BA78); +SDR 16 TDI (FFFF) TDO (04FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F87F); -SDR 16 TDI (FFFF) TDO (5FC3); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFBF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (3333); SDR 16 TDI (FFFF) TDO (63E6); @@ -20399,14 +20399,14 @@ SDR 16 TDI (FFFF) TDO (7999); SDR 16 TDI (FFFF) TDO (B31F); SDR 16 TDI (FFFF) TDO (3333); SDR 16 TDI (FFFF) TDO (67CC); -SDR 16 TDI (FFFF) TDO (ECC7); -SDR 16 TDI (FFFF) TDO (B999); -SDR 16 TDI (FFFF) TDO (99E6); +SDR 16 TDI (FFFF) TDO (CEE7); +SDR 16 TDI (FFFF) TDO (BB99); +SDR 16 TDI (FFFF) TDO (BBE6); SDR 16 TDI (FFFF) TDO (6CCC); SDR 16 TDI (FFFF) TDO (7CCC); SDR 16 TDI (FFFF) TDO (B99E); -SDR 16 TDI (FFFF) TDO (6366); -SDR 16 TDI (FFFF) TDO (47DC); +SDR 16 TDI (FFFF) TDO (6666); +SDR 16 TDI (FFFF) TDO (47CC); SDR 16 TDI (FFFF) TDO (CCCF); SDR 16 TDI (FFFF) TDO (A666); SDR 16 TDI (FFFF) TDO (63E6); @@ -20422,15 +20422,15 @@ SDR 16 TDI (FFFF) TDO (5777); SDR 16 TDI (FFFF) TDO (7BBB); SDR 16 TDI (FFFF) TDO (B74A); SDR 16 TDI (FFFF) TDO (BBBB); -SDR 16 TDI (FFFF) TDO (77DD); -SDR 16 TDI (FFFF) TDO (CDD2); -SDR 16 TDI (FFFF) TDO (B5DD); -SDR 16 TDI (FFFF) TDO (DDEE); +SDR 16 TDI (FFFF) TDO (77D9); +SDR 16 TDI (FFFF) TDO (DCC2); +SDR 16 TDI (FFFF) TDO (B1CD); +SDR 16 TDI (FFFF) TDO (99EE); SDR 16 TDI (FFFF) TDO (7DDD); SDR 16 TDI (FFFF) TDO (2AEE); SDR 16 TDI (FFFF) TDO (BDDE); -SDR 16 TDI (FFFF) TDO (EC6E); -SDR 16 TDI (FFFF) TDO (5A8E); +SDR 16 TDI (FFFF) TDO (EEEE); +SDR 16 TDI (FFFF) TDO (52AE); SDR 16 TDI (FFFF) TDO (EEEF); SDR 16 TDI (FFFF) TDO (AEEE); SDR 16 TDI (FFFF) TDO (E957); @@ -20446,20 +20446,20 @@ SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFE); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (B7FB); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FBFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (7FBB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FBF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FF7F); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -20471,14 +20471,14 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (FEDF); +SDR 16 TDI (FFFF) TDO (BFFB); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FEF); +SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -20519,14 +20519,14 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -20543,8 +20543,8 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BFFD); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -20556,7 +20556,7 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BBFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -20591,7 +20591,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FBFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -20602,9 +20602,9 @@ SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -20615,7 +20615,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (F7EF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -20626,7 +20626,7 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7BFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -21144,7 +21144,7 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFEF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -21171,12 +21171,12 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -21216,7 +21216,7 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFF7); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -21238,10 +21238,10 @@ SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6FEF); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (BFEB); -SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (6F7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -21267,14 +21267,14 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFF7); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -21286,10 +21286,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (76EF); +SDR 16 TDI (FFFF) TDO (7EEF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (FDFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -21311,8 +21311,8 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -21322,21 +21322,21 @@ SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FF7F); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FBF); +SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7EFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (BBFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -21344,16 +21344,16 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (BF7D); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (FFFE); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -21370,12 +21370,12 @@ SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (FFFD); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); @@ -21384,7 +21384,7 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BDFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -21397,18 +21397,18 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FF7F); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FBF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -21416,22 +21416,22 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (BEFB); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (BBFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -21442,13 +21442,13 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFD); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -21469,7 +21469,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFEF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -21489,21 +21489,21 @@ SDR 16 TDI (FFFF) TDO (D7FF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7BFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -21514,12 +21514,12 @@ SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FEEE); +SDR 16 TDI (FFFF) TDO (FECE); SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BDFF); SDR 16 TDI (FFFF) TDO (BFEE); SDR 16 TDI (FFFF) TDO (FFFD); SDR 16 TDI (FFFF) TDO (7B3F); @@ -21527,7 +21527,7 @@ SDR 16 TDI (FFFF) TDO (FFF3); SDR 16 TDI (FFFF) TDO (AEFF); SDR 16 TDI (FFFF) TDO (DDDF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (77BB); +SDR 16 TDI (FFFF) TDO (FFBB); SDR 16 TDI (FFFF) TDO (BECE); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7B9B); @@ -21538,7 +21538,7 @@ SDR 16 TDI (FFFF) TDO (5FF6); SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (BFDC); SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (737F); +SDR 16 TDI (FFFF) TDO (777F); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -- 2.39.2