]> git.sesse.net Git - nageru/blob - midi_mapper.cpp
Write 1.4.0 changelog.
[nageru] / midi_mapper.cpp
1 #include "midi_mapper.h"
2
3 #include <alsa/asoundlib.h>
4 #include <assert.h>
5 #include <errno.h>
6 #include <fcntl.h>
7 #include <google/protobuf/descriptor.h>
8 #include <google/protobuf/io/zero_copy_stream_impl.h>
9 #include <google/protobuf/message.h>
10 #include <google/protobuf/text_format.h>
11 #include <poll.h>
12 #include <stdint.h>
13 #include <stdio.h>
14 #include <sys/eventfd.h>
15 #include <unistd.h>
16 #include <algorithm>
17 #include <functional>
18 #include <thread>
19
20 #include "audio_mixer.h"
21 #include "midi_mapping.pb.h"
22
23 using namespace google::protobuf;
24 using namespace std;
25 using namespace std::placeholders;
26
27 namespace {
28
29 double map_controller_to_float(int val)
30 {
31         // Slightly hackish mapping so that we can represent exactly 0.0, 0.5 and 1.0.
32         if (val <= 0) {
33                 return 0.0;
34         } else if (val >= 127) {
35                 return 1.0;
36         } else {
37                 return (val + 0.5) / 127.0;
38         }
39 }
40
41 }  // namespace
42
43 MIDIMapper::MIDIMapper(ControllerReceiver *receiver)
44         : receiver(receiver), mapping_proto(new MIDIMappingProto)
45 {
46         should_quit_fd = eventfd(/*initval=*/0, /*flags=*/0);
47         assert(should_quit_fd != -1);
48 }
49
50 MIDIMapper::~MIDIMapper()
51 {
52         should_quit = true;
53         const uint64_t one = 1;
54         if (write(should_quit_fd, &one, sizeof(one)) != sizeof(one)) {
55                 perror("write(should_quit_fd)");
56                 exit(1);
57         }
58         midi_thread.join();
59         close(should_quit_fd);
60 }
61
62 bool load_midi_mapping_from_file(const string &filename, MIDIMappingProto *new_mapping)
63 {
64         // Read and parse the protobuf from disk.
65         int fd = open(filename.c_str(), O_RDONLY);
66         if (fd == -1) {
67                 perror(filename.c_str());
68                 return false;
69         }
70         io::FileInputStream input(fd);  // Takes ownership of fd.
71         if (!TextFormat::Parse(&input, new_mapping)) {
72                 input.Close();
73                 return false;
74         }
75         input.Close();
76         return true;
77 }
78
79 bool save_midi_mapping_to_file(const MIDIMappingProto &mapping_proto, const string &filename)
80 {
81         // Save to disk. We use the text format because it's friendlier
82         // for a user to look at and edit.
83         int fd = open(filename.c_str(), O_WRONLY | O_TRUNC | O_CREAT, 0666);
84         if (fd == -1) {
85                 perror(filename.c_str());
86                 return false;
87         }
88         io::FileOutputStream output(fd);  // Takes ownership of fd.
89         if (!TextFormat::Print(mapping_proto, &output)) {
90                 // TODO: Don't overwrite the old file (if any) on error.
91                 output.Close();
92                 return false;
93         }
94
95         output.Close();
96         return true;
97 }
98
99 void MIDIMapper::set_midi_mapping(const MIDIMappingProto &new_mapping)
100 {
101         lock_guard<mutex> lock(mu);
102         if (mapping_proto) {
103                 mapping_proto->CopyFrom(new_mapping);
104         } else {
105                 mapping_proto.reset(new MIDIMappingProto(new_mapping));
106         }
107
108         num_controller_banks = min(max(mapping_proto->num_controller_banks(), 1), 5);
109         current_controller_bank = 0;
110
111         receiver->clear_all_highlights();
112         update_highlights();
113 }
114
115 void MIDIMapper::start_thread()
116 {
117         midi_thread = thread(&MIDIMapper::thread_func, this);
118 }
119
120 const MIDIMappingProto &MIDIMapper::get_current_mapping() const
121 {
122         lock_guard<mutex> lock(mu);
123         return *mapping_proto;
124 }
125
126 ControllerReceiver *MIDIMapper::set_receiver(ControllerReceiver *new_receiver)
127 {
128         lock_guard<mutex> lock(mu);
129         swap(receiver, new_receiver);
130         return new_receiver;  // Now old receiver.
131 }
132
133 #define RETURN_ON_ERROR(msg, expr) do {                            \
134         int err = (expr);                                          \
135         if (err < 0) {                                             \
136                 fprintf(stderr, msg ": %s\n", snd_strerror(err));  \
137                 return;                                            \
138         }                                                          \
139 } while (false)
140
141 #define WARN_ON_ERROR(msg, expr) do {                              \
142         int err = (expr);                                          \
143         if (err < 0) {                                             \
144                 fprintf(stderr, msg ": %s\n", snd_strerror(err));  \
145         }                                                          \
146 } while (false)
147
148
149 void MIDIMapper::thread_func()
150 {
151         snd_seq_t *seq;
152         int err;
153
154         RETURN_ON_ERROR("snd_seq_open", snd_seq_open(&seq, "default", SND_SEQ_OPEN_DUPLEX, 0));
155         RETURN_ON_ERROR("snd_seq_nonblock", snd_seq_nonblock(seq, 1));
156         RETURN_ON_ERROR("snd_seq_client_name", snd_seq_set_client_name(seq, "nageru"));
157         RETURN_ON_ERROR("snd_seq_create_simple_port",
158                 snd_seq_create_simple_port(seq, "nageru",
159                         SND_SEQ_PORT_CAP_READ |
160                                 SND_SEQ_PORT_CAP_SUBS_READ |
161                                 SND_SEQ_PORT_CAP_WRITE |
162                                 SND_SEQ_PORT_CAP_SUBS_WRITE,
163                         SND_SEQ_PORT_TYPE_MIDI_GENERIC |
164                                 SND_SEQ_PORT_TYPE_APPLICATION));
165
166         int queue_id = snd_seq_alloc_queue(seq);
167         RETURN_ON_ERROR("snd_seq_create_queue", queue_id);
168         RETURN_ON_ERROR("snd_seq_start_queue", snd_seq_start_queue(seq, queue_id, nullptr));
169
170         // The sequencer object is now ready to be used from other threads.
171         {
172                 lock_guard<mutex> lock(mu);
173                 alsa_seq = seq;
174                 alsa_queue_id = queue_id;
175         }
176
177         // Listen to the announce port (0:1), which will tell us about new ports.
178         RETURN_ON_ERROR("snd_seq_connect_from", snd_seq_connect_from(seq, 0, /*client=*/0, /*port=*/1));
179
180         // Now go through all ports and subscribe to them.
181         snd_seq_client_info_t *cinfo;
182         snd_seq_client_info_alloca(&cinfo);
183
184         snd_seq_client_info_set_client(cinfo, -1);
185         while (snd_seq_query_next_client(seq, cinfo) >= 0) {
186                 int client = snd_seq_client_info_get_client(cinfo);
187
188                 snd_seq_port_info_t *pinfo;
189                 snd_seq_port_info_alloca(&pinfo);
190
191                 snd_seq_port_info_set_client(pinfo, client);
192                 snd_seq_port_info_set_port(pinfo, -1);
193                 while (snd_seq_query_next_port(seq, pinfo) >= 0) {
194                         constexpr int mask = SND_SEQ_PORT_CAP_READ | SND_SEQ_PORT_CAP_SUBS_READ;
195                         if ((snd_seq_port_info_get_capability(pinfo) & mask) == mask) {
196                                 lock_guard<mutex> lock(mu);
197                                 subscribe_to_port_lock_held(seq, *snd_seq_port_info_get_addr(pinfo));
198                         }
199                 }
200         }
201
202         int num_alsa_fds = snd_seq_poll_descriptors_count(seq, POLLIN);
203         unique_ptr<pollfd[]> fds(new pollfd[num_alsa_fds + 1]);
204
205         while (!should_quit) {
206                 snd_seq_poll_descriptors(seq, fds.get(), num_alsa_fds, POLLIN);
207                 fds[num_alsa_fds].fd = should_quit_fd;
208                 fds[num_alsa_fds].events = POLLIN;
209                 fds[num_alsa_fds].revents = 0;
210
211                 err = poll(fds.get(), num_alsa_fds + 1, -1);
212                 if (err == 0 || (err == -1 && errno == EINTR)) {
213                         continue;
214                 }
215                 if (err == -1) {
216                         perror("poll");
217                         break;
218                 }
219                 if (fds[num_alsa_fds].revents) {
220                         // Activity on should_quit_fd.
221                         break;
222                 }
223
224                 // Seemingly we can get multiple events in a single poll,
225                 // and if we don't handle them all, poll will _not_ alert us!
226                 while (!should_quit) {
227                         snd_seq_event_t *event;
228                         err = snd_seq_event_input(seq, &event);
229                         if (err < 0) {
230                                 if (err == -EINTR) continue;
231                                 if (err == -EAGAIN) break;
232                                 fprintf(stderr, "snd_seq_event_input: %s\n", snd_strerror(err));
233                                 return;
234                         }
235                         if (event) {
236                                 handle_event(seq, event);
237                         }
238                 }
239         }
240 }
241
242 void MIDIMapper::handle_event(snd_seq_t *seq, snd_seq_event_t *event)
243 {
244         if (event->source.client == snd_seq_client_id(seq)) {
245                 // Ignore events we sent out ourselves.
246                 return;
247         }
248
249         lock_guard<mutex> lock(mu);
250         switch (event->type) {
251         case SND_SEQ_EVENT_CONTROLLER: {
252                 const int controller = event->data.control.param;
253                 const float value = map_controller_to_float(event->data.control.value);
254
255                 receiver->controller_changed(controller);
256
257                 // Global controllers.
258                 match_controller(controller, MIDIMappingBusProto::kLocutFieldNumber, MIDIMappingProto::kLocutBankFieldNumber,
259                         value, bind(&ControllerReceiver::set_locut, receiver, _2));
260                 match_controller(controller, MIDIMappingBusProto::kLimiterThresholdFieldNumber, MIDIMappingProto::kLimiterThresholdBankFieldNumber,
261                         value, bind(&ControllerReceiver::set_limiter_threshold, receiver, _2));
262                 match_controller(controller, MIDIMappingBusProto::kMakeupGainFieldNumber, MIDIMappingProto::kMakeupGainBankFieldNumber,
263                         value, bind(&ControllerReceiver::set_makeup_gain, receiver, _2));
264
265                 // Bus controllers.
266                 match_controller(controller, MIDIMappingBusProto::kTrebleFieldNumber, MIDIMappingProto::kTrebleBankFieldNumber,
267                         value, bind(&ControllerReceiver::set_treble, receiver, _1, _2));
268                 match_controller(controller, MIDIMappingBusProto::kMidFieldNumber, MIDIMappingProto::kMidBankFieldNumber,
269                         value, bind(&ControllerReceiver::set_mid, receiver, _1, _2));
270                 match_controller(controller, MIDIMappingBusProto::kBassFieldNumber, MIDIMappingProto::kBassBankFieldNumber,
271                         value, bind(&ControllerReceiver::set_bass, receiver, _1, _2));
272                 match_controller(controller, MIDIMappingBusProto::kGainFieldNumber, MIDIMappingProto::kGainBankFieldNumber,
273                         value, bind(&ControllerReceiver::set_gain, receiver, _1, _2));
274                 match_controller(controller, MIDIMappingBusProto::kCompressorThresholdFieldNumber, MIDIMappingProto::kCompressorThresholdBankFieldNumber,
275                         value, bind(&ControllerReceiver::set_compressor_threshold, receiver, _1, _2));
276                 match_controller(controller, MIDIMappingBusProto::kFaderFieldNumber, MIDIMappingProto::kFaderBankFieldNumber,
277                         value, bind(&ControllerReceiver::set_fader, receiver, _1, _2));
278                 break;
279         }
280         case SND_SEQ_EVENT_NOTEON: {
281                 const int note = event->data.note.note;
282
283                 receiver->note_on(note);
284
285                 for (size_t bus_idx = 0; bus_idx < size_t(mapping_proto->bus_mapping_size()); ++bus_idx) {
286                         const MIDIMappingBusProto &bus_mapping = mapping_proto->bus_mapping(bus_idx);
287                         if (bus_mapping.has_prev_bank() &&
288                             bus_mapping.prev_bank().note_number() == note) {
289                                 current_controller_bank = (current_controller_bank + num_controller_banks - 1) % num_controller_banks;
290                                 update_highlights();
291                                 update_lights_lock_held();
292                         }
293                         if (bus_mapping.has_next_bank() &&
294                             bus_mapping.next_bank().note_number() == note) {
295                                 current_controller_bank = (current_controller_bank + 1) % num_controller_banks;
296                                 update_highlights();
297                                 update_lights_lock_held();
298                         }
299                         if (bus_mapping.has_select_bank_1() &&
300                             bus_mapping.select_bank_1().note_number() == note) {
301                                 current_controller_bank = 0;
302                                 update_highlights();
303                                 update_lights_lock_held();
304                         }
305                         if (bus_mapping.has_select_bank_2() &&
306                             bus_mapping.select_bank_2().note_number() == note &&
307                             num_controller_banks >= 2) {
308                                 current_controller_bank = 1;
309                                 update_highlights();
310                                 update_lights_lock_held();
311                         }
312                         if (bus_mapping.has_select_bank_3() &&
313                             bus_mapping.select_bank_3().note_number() == note &&
314                             num_controller_banks >= 3) {
315                                 current_controller_bank = 2;
316                                 update_highlights();
317                                 update_lights_lock_held();
318                         }
319                         if (bus_mapping.has_select_bank_4() &&
320                             bus_mapping.select_bank_4().note_number() == note &&
321                             num_controller_banks >= 4) {
322                                 current_controller_bank = 3;
323                                 update_highlights();
324                                 update_lights_lock_held();
325                         }
326                         if (bus_mapping.has_select_bank_5() &&
327                             bus_mapping.select_bank_5().note_number() == note &&
328                             num_controller_banks >= 5) {
329                                 current_controller_bank = 4;
330                                 update_highlights();
331                                 update_lights_lock_held();
332                         }
333                 }
334
335                 match_button(note, MIDIMappingBusProto::kToggleLocutFieldNumber, MIDIMappingProto::kToggleLocutBankFieldNumber,
336                         bind(&ControllerReceiver::toggle_locut, receiver, _1));
337                 match_button(note, MIDIMappingBusProto::kToggleAutoGainStagingFieldNumber, MIDIMappingProto::kToggleAutoGainStagingBankFieldNumber,
338                         bind(&ControllerReceiver::toggle_auto_gain_staging, receiver, _1));
339                 match_button(note, MIDIMappingBusProto::kToggleCompressorFieldNumber, MIDIMappingProto::kToggleCompressorBankFieldNumber,
340                         bind(&ControllerReceiver::toggle_compressor, receiver, _1));
341                 match_button(note, MIDIMappingBusProto::kClearPeakFieldNumber, MIDIMappingProto::kClearPeakBankFieldNumber,
342                         bind(&ControllerReceiver::clear_peak, receiver, _1));
343                 match_button(note, MIDIMappingBusProto::kToggleMuteFieldNumber, MIDIMappingProto::kClearPeakBankFieldNumber,
344                         bind(&ControllerReceiver::toggle_mute, receiver, _1));
345                 match_button(note, MIDIMappingBusProto::kToggleLimiterFieldNumber, MIDIMappingProto::kToggleLimiterBankFieldNumber,
346                         bind(&ControllerReceiver::toggle_limiter, receiver));
347                 match_button(note, MIDIMappingBusProto::kToggleAutoMakeupGainFieldNumber, MIDIMappingProto::kToggleAutoMakeupGainBankFieldNumber,
348                         bind(&ControllerReceiver::toggle_auto_makeup_gain, receiver));
349                 break;
350         }
351         case SND_SEQ_EVENT_PORT_START:
352                 subscribe_to_port_lock_held(seq, event->data.addr);
353                 break;
354         case SND_SEQ_EVENT_PORT_EXIT:
355                 printf("MIDI port %d:%d went away.\n", event->data.addr.client, event->data.addr.port);
356                 break;
357         case SND_SEQ_EVENT_PORT_SUBSCRIBED:
358                 if (event->data.connect.sender.client != 0 &&  // Ignore system senders.
359                     event->data.connect.sender.client != snd_seq_client_id(seq) &&
360                     event->data.connect.dest.client == snd_seq_client_id(seq)) {
361                         ++num_subscribed_ports;
362                         update_highlights();
363                 }
364                 break;
365         case SND_SEQ_EVENT_PORT_UNSUBSCRIBED:
366                 if (event->data.connect.sender.client != 0 &&  // Ignore system senders.
367                     event->data.connect.sender.client != snd_seq_client_id(seq) &&
368                     event->data.connect.dest.client == snd_seq_client_id(seq)) {
369                         --num_subscribed_ports;
370                         update_highlights();
371                 }
372                 break;
373         case SND_SEQ_EVENT_NOTEOFF:
374         case SND_SEQ_EVENT_CLIENT_START:
375         case SND_SEQ_EVENT_CLIENT_EXIT:
376         case SND_SEQ_EVENT_CLIENT_CHANGE:
377         case SND_SEQ_EVENT_PORT_CHANGE:
378                 break;
379         default:
380                 printf("Ignoring MIDI event of unknown type %d.\n", event->type);
381         }
382 }
383
384 void MIDIMapper::subscribe_to_port_lock_held(snd_seq_t *seq, const snd_seq_addr_t &addr)
385 {
386         // Client 0 is basically the system; ignore it.
387         if (addr.client == 0) {
388                 return;
389         }
390
391         int err = snd_seq_connect_from(seq, 0, addr.client, addr.port);
392         if (err < 0) {
393                 // Just print out a warning (i.e., don't die); it could
394                 // very well just be e.g. another application.
395                 printf("Couldn't subscribe to MIDI port %d:%d (%s).\n",
396                         addr.client, addr.port, snd_strerror(err));
397         } else {
398                 printf("Subscribed to MIDI port %d:%d.\n", addr.client, addr.port);
399         }
400
401         // For sending data back.
402         err = snd_seq_connect_to(seq, 0, addr.client, addr.port);
403         if (err < 0) {
404                 printf("Couldn't subscribe MIDI port %d:%d (%s) to us.\n",
405                         addr.client, addr.port, snd_strerror(err));
406         } else {
407                 printf("Subscribed MIDI port %d:%d to us.\n", addr.client, addr.port);
408         }
409
410         current_light_status.clear();  // The current state of the device is unknown.
411         update_lights_lock_held();
412 }
413
414 void MIDIMapper::match_controller(int controller, int field_number, int bank_field_number, float value, function<void(unsigned, float)> func)
415 {
416         if (bank_mismatch(bank_field_number)) {
417                 return;
418         }
419
420         for (size_t bus_idx = 0; bus_idx < size_t(mapping_proto->bus_mapping_size()); ++bus_idx) {
421                 const MIDIMappingBusProto &bus_mapping = mapping_proto->bus_mapping(bus_idx);
422
423                 const FieldDescriptor *descriptor = bus_mapping.GetDescriptor()->FindFieldByNumber(field_number);
424                 const Reflection *bus_reflection = bus_mapping.GetReflection();
425                 if (!bus_reflection->HasField(bus_mapping, descriptor)) {
426                         continue;
427                 }
428                 const MIDIControllerProto &controller_proto =
429                         static_cast<const MIDIControllerProto &>(bus_reflection->GetMessage(bus_mapping, descriptor));
430                 if (controller_proto.controller_number() == controller) {
431                         func(bus_idx, value);
432                 }
433         }
434 }
435
436 void MIDIMapper::match_button(int note, int field_number, int bank_field_number, function<void(unsigned)> func)
437 {
438         if (bank_mismatch(bank_field_number)) {
439                 return;
440         }
441
442         for (size_t bus_idx = 0; bus_idx < size_t(mapping_proto->bus_mapping_size()); ++bus_idx) {
443                 const MIDIMappingBusProto &bus_mapping = mapping_proto->bus_mapping(bus_idx);
444
445                 const FieldDescriptor *descriptor = bus_mapping.GetDescriptor()->FindFieldByNumber(field_number);
446                 const Reflection *bus_reflection = bus_mapping.GetReflection();
447                 if (!bus_reflection->HasField(bus_mapping, descriptor)) {
448                         continue;
449                 }
450                 const MIDIButtonProto &button_proto =
451                         static_cast<const MIDIButtonProto &>(bus_reflection->GetMessage(bus_mapping, descriptor));
452                 if (button_proto.note_number() == note) {
453                         func(bus_idx);
454                 }
455         }
456 }
457
458 bool MIDIMapper::has_active_controller(unsigned bus_idx, int field_number, int bank_field_number)
459 {
460         if (bank_mismatch(bank_field_number)) {
461                 return false;
462         }
463
464         const MIDIMappingBusProto &bus_mapping = mapping_proto->bus_mapping(bus_idx);
465         const FieldDescriptor *descriptor = bus_mapping.GetDescriptor()->FindFieldByNumber(field_number);
466         const Reflection *bus_reflection = bus_mapping.GetReflection();
467         return bus_reflection->HasField(bus_mapping, descriptor);
468 }
469
470 bool MIDIMapper::bank_mismatch(int bank_field_number)
471 {
472         const FieldDescriptor *bank_descriptor = mapping_proto->GetDescriptor()->FindFieldByNumber(bank_field_number);
473         const Reflection *reflection = mapping_proto->GetReflection();
474         return (reflection->HasField(*mapping_proto, bank_descriptor) &&
475                 reflection->GetInt32(*mapping_proto, bank_descriptor) != current_controller_bank);
476 }
477
478 void MIDIMapper::refresh_highlights()
479 {
480         receiver->clear_all_highlights();
481         update_highlights();
482 }
483
484 void MIDIMapper::refresh_lights()
485 {
486         lock_guard<mutex> lock(mu);
487         update_lights_lock_held();
488 }
489
490 void MIDIMapper::update_highlights()
491 {
492         if (num_subscribed_ports.load() == 0) {
493                 receiver->clear_all_highlights();
494                 return;
495         }
496
497         // Global controllers.
498         bool highlight_locut = false;
499         bool highlight_limiter_threshold = false;
500         bool highlight_makeup_gain = false;
501         bool highlight_toggle_limiter = false;
502         bool highlight_toggle_auto_makeup_gain = false;
503         for (size_t bus_idx = 0; bus_idx < size_t(mapping_proto->bus_mapping_size()); ++bus_idx) {
504                 if (has_active_controller(
505                         bus_idx, MIDIMappingBusProto::kLocutFieldNumber, MIDIMappingProto::kLocutBankFieldNumber)) {
506                         highlight_locut = true;
507                 }
508                 if (has_active_controller(
509                         bus_idx, MIDIMappingBusProto::kLimiterThresholdFieldNumber, MIDIMappingProto::kLimiterThresholdBankFieldNumber)) {
510                         highlight_limiter_threshold = true;
511                 }
512                 if (has_active_controller(
513                         bus_idx, MIDIMappingBusProto::kMakeupGainFieldNumber, MIDIMappingProto::kMakeupGainBankFieldNumber)) {
514                         highlight_makeup_gain = true;
515                 }
516                 if (has_active_controller(
517                         bus_idx, MIDIMappingBusProto::kToggleLimiterFieldNumber, MIDIMappingProto::kToggleLimiterBankFieldNumber)) {
518                         highlight_toggle_limiter = true;
519                 }
520                 if (has_active_controller(
521                         bus_idx, MIDIMappingBusProto::kToggleAutoMakeupGainFieldNumber, MIDIMappingProto::kToggleAutoMakeupGainBankFieldNumber)) {
522                         highlight_toggle_auto_makeup_gain = true;
523                 }
524         }
525         receiver->highlight_locut(highlight_locut);
526         receiver->highlight_limiter_threshold(highlight_limiter_threshold);
527         receiver->highlight_makeup_gain(highlight_makeup_gain);
528         receiver->highlight_toggle_limiter(highlight_toggle_limiter);
529         receiver->highlight_toggle_auto_makeup_gain(highlight_toggle_auto_makeup_gain);
530
531         // Per-bus controllers.
532         for (size_t bus_idx = 0; bus_idx < size_t(mapping_proto->bus_mapping_size()); ++bus_idx) {
533                 receiver->highlight_treble(bus_idx, has_active_controller(
534                         bus_idx, MIDIMappingBusProto::kTrebleFieldNumber, MIDIMappingProto::kTrebleBankFieldNumber));
535                 receiver->highlight_mid(bus_idx, has_active_controller(
536                         bus_idx, MIDIMappingBusProto::kMidFieldNumber, MIDIMappingProto::kMidBankFieldNumber));
537                 receiver->highlight_bass(bus_idx, has_active_controller(
538                         bus_idx, MIDIMappingBusProto::kBassFieldNumber, MIDIMappingProto::kBassBankFieldNumber));
539                 receiver->highlight_gain(bus_idx, has_active_controller(
540                         bus_idx, MIDIMappingBusProto::kGainFieldNumber, MIDIMappingProto::kGainBankFieldNumber));
541                 receiver->highlight_compressor_threshold(bus_idx, has_active_controller(
542                         bus_idx, MIDIMappingBusProto::kCompressorThresholdFieldNumber, MIDIMappingProto::kCompressorThresholdBankFieldNumber));
543                 receiver->highlight_fader(bus_idx, has_active_controller(
544                         bus_idx, MIDIMappingBusProto::kFaderFieldNumber, MIDIMappingProto::kFaderBankFieldNumber));
545                 receiver->highlight_mute(bus_idx, has_active_controller(
546                         bus_idx, MIDIMappingBusProto::kToggleMuteFieldNumber, MIDIMappingProto::kToggleMuteBankFieldNumber));
547                 receiver->highlight_toggle_locut(bus_idx, has_active_controller(
548                         bus_idx, MIDIMappingBusProto::kToggleLocutFieldNumber, MIDIMappingProto::kToggleLocutBankFieldNumber));
549                 receiver->highlight_toggle_auto_gain_staging(bus_idx, has_active_controller(
550                         bus_idx, MIDIMappingBusProto::kToggleAutoGainStagingFieldNumber, MIDIMappingProto::kToggleAutoGainStagingBankFieldNumber));
551                 receiver->highlight_toggle_compressor(bus_idx, has_active_controller(
552                         bus_idx, MIDIMappingBusProto::kToggleCompressorFieldNumber, MIDIMappingProto::kToggleCompressorBankFieldNumber));
553         }
554 }
555
556 void MIDIMapper::update_lights_lock_held()
557 {
558         if (alsa_seq == nullptr || global_audio_mixer == nullptr) {
559                 return;
560         }
561
562         set<unsigned> active_lights;  // Desired state.
563         if (current_controller_bank == 0) {
564                 activate_lights_all_buses(MIDIMappingBusProto::kBank1IsSelectedFieldNumber, &active_lights);
565         }
566         if (current_controller_bank == 1) {
567                 activate_lights_all_buses(MIDIMappingBusProto::kBank2IsSelectedFieldNumber, &active_lights);
568         }
569         if (current_controller_bank == 2) {
570                 activate_lights_all_buses(MIDIMappingBusProto::kBank3IsSelectedFieldNumber, &active_lights);
571         }
572         if (current_controller_bank == 3) {
573                 activate_lights_all_buses(MIDIMappingBusProto::kBank4IsSelectedFieldNumber, &active_lights);
574         }
575         if (current_controller_bank == 4) {
576                 activate_lights_all_buses(MIDIMappingBusProto::kBank5IsSelectedFieldNumber, &active_lights);
577         }
578         if (global_audio_mixer->get_limiter_enabled()) {
579                 activate_lights_all_buses(MIDIMappingBusProto::kLimiterIsOnFieldNumber, &active_lights);
580         }
581         if (global_audio_mixer->get_final_makeup_gain_auto()) {
582                 activate_lights_all_buses(MIDIMappingBusProto::kAutoMakeupGainIsOnFieldNumber, &active_lights);
583         }
584         unsigned num_buses = min<unsigned>(global_audio_mixer->num_buses(), mapping_proto->bus_mapping_size());
585         for (unsigned bus_idx = 0; bus_idx < num_buses; ++bus_idx) {
586                 if (global_audio_mixer->get_mute(bus_idx)) {
587                         activate_lights(bus_idx, MIDIMappingBusProto::kIsMutedFieldNumber, &active_lights);
588                 }
589                 if (global_audio_mixer->get_locut_enabled(bus_idx)) {
590                         activate_lights(bus_idx, MIDIMappingBusProto::kLocutIsOnFieldNumber, &active_lights);
591                 }
592                 if (global_audio_mixer->get_gain_staging_auto(bus_idx)) {
593                         activate_lights(bus_idx, MIDIMappingBusProto::kAutoGainStagingIsOnFieldNumber, &active_lights);
594                 }
595                 if (global_audio_mixer->get_compressor_enabled(bus_idx)) {
596                         activate_lights(bus_idx, MIDIMappingBusProto::kCompressorIsOnFieldNumber, &active_lights);
597                 }
598                 if (has_peaked[bus_idx]) {
599                         activate_lights(bus_idx, MIDIMappingBusProto::kHasPeakedFieldNumber, &active_lights);
600                 }
601         }
602
603         unsigned num_events = 0;
604         for (unsigned note_num = 1; note_num <= 127; ++note_num) {
605                 bool active = active_lights.count(note_num);
606                 if (current_light_status.count(note_num) &&
607                     current_light_status[note_num] == active) {
608                         // Already known to be in the desired state.
609                         continue;
610                 }
611
612                 snd_seq_event_t ev;
613                 snd_seq_ev_clear(&ev);
614
615                 // Some devices drop events if we throw them onto them
616                 // too quickly. Add a 1 ms delay for each.
617                 snd_seq_real_time_t tm{0, num_events++ * 1000000};
618                 snd_seq_ev_schedule_real(&ev, alsa_queue_id, true, &tm);
619                 snd_seq_ev_set_source(&ev, 0);
620                 snd_seq_ev_set_subs(&ev);
621
622                 // For some reason, not all devices respond to note off.
623                 // Use note-on with velocity of 0 (which is equivalent) instead.
624                 snd_seq_ev_set_noteon(&ev, /*channel=*/0, note_num, active ? 127 : 0);
625                 WARN_ON_ERROR("snd_seq_event_output", snd_seq_event_output(alsa_seq, &ev));
626                 current_light_status[note_num] = active;
627         }
628         WARN_ON_ERROR("snd_seq_drain_output", snd_seq_drain_output(alsa_seq));
629 }
630
631 void MIDIMapper::activate_lights(unsigned bus_idx, int field_number, set<unsigned> *active_lights)
632 {
633         const MIDIMappingBusProto &bus_mapping = mapping_proto->bus_mapping(bus_idx);
634
635         const FieldDescriptor *descriptor = bus_mapping.GetDescriptor()->FindFieldByNumber(field_number);
636         const Reflection *bus_reflection = bus_mapping.GetReflection();
637         if (!bus_reflection->HasField(bus_mapping, descriptor)) {
638                 return;
639         }
640         const MIDILightProto &light_proto =
641                 static_cast<const MIDILightProto &>(bus_reflection->GetMessage(bus_mapping, descriptor));
642         active_lights->insert(light_proto.note_number());
643 }
644
645 void MIDIMapper::activate_lights_all_buses(int field_number, set<unsigned> *active_lights)
646 {
647         for (size_t bus_idx = 0; bus_idx < size_t(mapping_proto->bus_mapping_size()); ++bus_idx) {
648                 const MIDIMappingBusProto &bus_mapping = mapping_proto->bus_mapping(bus_idx);
649
650                 const FieldDescriptor *descriptor = bus_mapping.GetDescriptor()->FindFieldByNumber(field_number);
651                 const Reflection *bus_reflection = bus_mapping.GetReflection();
652                 if (!bus_reflection->HasField(bus_mapping, descriptor)) {
653                         continue;
654                 }
655                 const MIDILightProto &light_proto =
656                         static_cast<const MIDILightProto &>(bus_reflection->GetMessage(bus_mapping, descriptor));
657                 active_lights->insert(light_proto.note_number());
658         }
659 }