From d602910beb095a999d654311a423a6e71afa8f7f Mon Sep 17 00:00:00 2001 From: shanshe Date: Fri, 18 Jun 2021 11:48:45 +0200 Subject: [PATCH] Improve 68k cycle state machine S7 and S0 states are made faster to gain almost a c7m cycle, making Chip access faster. (sysinfo 4.4 chip speed from 0.97 to 1.13) --- rtl/EPM240_bitstream.svf | 4252 ++++++++++++++++----------------- rtl/bitstream.svf | 4892 +++++++++++++++++++------------------- rtl/pistorm.v | 191 +- 3 files changed, 4666 insertions(+), 4669 deletions(-) diff --git a/rtl/EPM240_bitstream.svf b/rtl/EPM240_bitstream.svf index f80de5f..8628a98 100644 --- a/rtl/EPM240_bitstream.svf +++ b/rtl/EPM240_bitstream.svf @@ -15,11 +15,11 @@ ! !Quartus Prime SVF converter 20.1 ! -!Device #1: EPM240 - output_files/pistorm.pof Tue Apr 13 21:52:13 2021 +!Device #1: EPM240 - output_files/pistorm.pof Fri Jun 18 11:36:31 2021 ! -!NOTE "USERCODE" "0018736F"; +!NOTE "USERCODE" "0018569E"; ! -!NOTE "CHECKSUM" "0018756F"; +!NOTE "CHECKSUM" "0018599E"; ! ! ! @@ -151,9 +151,9 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (67FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -171,7 +171,7 @@ SDR 16 TDI (EFF9); RUNTEST 10 TCK; SDR 16 TDI (733F); RUNTEST 10 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (FFD7); RUNTEST 10 TCK; SDR 16 TDI (B77F); RUNTEST 10 TCK; @@ -179,7 +179,7 @@ SDR 16 TDI (ECCF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFBF); +SDR 16 TDI (7FBF); RUNTEST 10 TCK; SDR 16 TDI (BECC); RUNTEST 10 TCK; @@ -201,19 +201,19 @@ SDR 16 TDI (BFEE); RUNTEST 10 TCK; SDR 16 TDI (EFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7F7F); RUNTEST 10 TCK; -SDR 16 TDI (FBFA); +SDR 16 TDI (FFFD); RUNTEST 10 TCK; -SDR 16 TDI (AEFF); +SDR 16 TDI (B77F); RUNTEST 10 TCK; -SDR 16 TDI (F7FF); +SDR 16 TDI (EFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (77FF); RUNTEST 10 TCK; -SDR 16 TDI (A67F); +SDR 16 TDI (D9BF); RUNTEST 10 TCK; -SDR 16 TDI (BF7F); +SDR 16 TDI (BEFF); RUNTEST 10 TCK; SDR 16 TDI (FFFE); RUNTEST 10 TCK; @@ -223,41 +223,41 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FEEE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFB); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFDD); +SDR 16 TDI (BFEE); RUNTEST 10 TCK; -SDR 16 TDI (DFFF); +SDR 16 TDI (EFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (EFF9); RUNTEST 10 TCK; -SDR 16 TDI (AEF7); +SDR 16 TDI (B777); RUNTEST 10 TCK; -SDR 16 TDI (F7FF); +SDR 16 TDI (EFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (EE7F); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BF7F); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFE); RUNTEST 10 TCK; -SDR 16 TDI (6FFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FDDD); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFD); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -267,7 +267,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (EFF7); +SDR 16 TDI (EFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -275,11 +275,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (B7FF); +SDR 16 TDI (6E7F); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BF7F); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFE); RUNTEST 10 TCK; SDR 16 TDI (6FFF); RUNTEST 10 TCK; @@ -297,7 +297,7 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7F7F); RUNTEST 10 TCK; SDR 16 TDI (EFFF); RUNTEST 10 TCK; @@ -307,11 +307,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FBFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -319,31 +319,31 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FDFF); +SDR 16 TDI (FFFB); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BF7F); +SDR 16 TDI (BFFE); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFB); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (EFFF); +SDR 16 TDI (EFFD); RUNTEST 10 TCK; -SDR 16 TDI (BFBF); +SDR 16 TDI (B7FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FEF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFAF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BDFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -363,7 +363,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (EDFF); +SDR 16 TDI (EFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -371,11 +371,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FDFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (DFFF); +SDR 16 TDI (FFFE); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -389,25 +389,25 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFE); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFF7); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (B7FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FDF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFEF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -415,31 +415,31 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FEFF); +SDR 16 TDI (FFFB); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BF7F); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (EEFF); +SDR 16 TDI (FFFD); RUNTEST 10 TCK; -SDR 16 TDI (BFBF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FDFF); +SDR 16 TDI (FF7F); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BDFF); RUNTEST 10 TCK; -SDR 16 TDI (DFFE); +SDR 16 TDI (FFFE); RUNTEST 10 TCK; SDR 16 TDI (6FFF); RUNTEST 10 TCK; @@ -455,17 +455,17 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F7FF); RUNTEST 10 TCK; -SDR 16 TDI (7FF7); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (DFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BF7F); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7DFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -479,15 +479,15 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFB); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFB); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -499,11 +499,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FBFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -511,7 +511,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFD); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FBFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -521,13 +521,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FF7); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (EFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -537,37 +537,37 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFD); RUNTEST 10 TCK; -SDR 16 TDI (6FFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFB); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFF7); +SDR 16 TDI (FBFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (CFFF); +SDR 16 TDI (EFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BF7F); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7EFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F7FF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -663,7 +663,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFF7); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -695,7 +695,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFEF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -1215,7 +1215,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (EFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -1227,7 +1227,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -1235,10 +1235,10 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); -RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; +SDR 16 TDI (BFFE); +RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); @@ -1261,19 +1261,19 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BEFF); +SDR 16 TDI (BF7F); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FF7F); RUNTEST 10 TCK; -SDR 16 TDI (7DBF); +SDR 16 TDI (7DFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BEFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (6FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -1291,19 +1291,19 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (BEFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FEFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -1311,7 +1311,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (AFFF); RUNTEST 10 TCK; -SDR 16 TDI (DFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -1335,7 +1335,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFB); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (77FF); RUNTEST 10 TCK; @@ -1347,7 +1347,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -1359,13 +1359,13 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FBFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFD); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BBFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -1381,13 +1381,13 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BBFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FBFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -1397,7 +1397,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFDF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -1413,7 +1413,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (B7FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -1423,15 +1423,15 @@ SDR 16 TDI (EFFB); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFE); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFBF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BDFF); RUNTEST 10 TCK; -SDR 16 TDI (FEFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -1443,7 +1443,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -1451,17 +1451,17 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FBFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FBFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFE); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFBF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -1487,9 +1487,9 @@ SDR 16 TDI (EFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFF7); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FDF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -1515,21 +1515,21 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (EFF7); +SDR 16 TDI (EDFF); RUNTEST 10 TCK; SDR 16 TDI (BFBF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7F7F); +SDR 16 TDI (7DFF); RUNTEST 10 TCK; -SDR 16 TDI (AFDF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FEFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (77FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -1553,13 +1553,13 @@ SDR 16 TDI (BFBF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFB); +SDR 16 TDI (7EFF); RUNTEST 10 TCK; -SDR 16 TDI (5FDF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FF7F); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -1579,13 +1579,13 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFF7); +SDR 16 TDI (FDFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFF7); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7F5F); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -1593,7 +1593,7 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (77FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -1613,19 +1613,19 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFD); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFB); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFEF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BBFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFF5); RUNTEST 10 TCK; -SDR 16 TDI (7DFF); +SDR 16 TDI (79FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -1643,21 +1643,21 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FAFD); +SDR 16 TDI (FFFD); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7BFF); RUNTEST 10 TCK; -SDR 16 TDI (DFFE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFE); RUNTEST 10 TCK; -SDR 16 TDI (69FF); +SDR 16 TDI (7DFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -1679,15 +1679,15 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFD); RUNTEST 10 TCK; -SDR 16 TDI (7FDD); +SDR 16 TDI (7EFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFD); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (DFF4); +SDR 16 TDI (FFF4); RUNTEST 10 TCK; SDR 16 TDI (7DFF); RUNTEST 10 TCK; @@ -1713,13 +1713,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (77DF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (F5FF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFD5); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (F57F); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (79FF); RUNTEST 10 TCK; @@ -1739,7 +1739,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FEFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -1751,7 +1751,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -1773,17 +1773,17 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFBB); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7F7F); +SDR 16 TDI (7BFF); RUNTEST 10 TCK; -SDR 16 TDI (F7FF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFF7); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (777F); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -1803,19 +1803,19 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFD); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFD); RUNTEST 10 TCK; SDR 16 TDI (FFFD); RUNTEST 10 TCK; -SDR 16 TDI (7FCD); +SDR 16 TDI (7BFF); RUNTEST 10 TCK; -SDR 16 TDI (DEFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BDB9); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (EBFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -1835,21 +1835,21 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FCFD); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFDF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (777F); +SDR 16 TDI (77FF); RUNTEST 10 TCK; -SDR 16 TDI (F3FF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFE7); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (567B); +SDR 16 TDI (FFFB); RUNTEST 10 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (67FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -1867,19 +1867,19 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (F7FF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFB); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7DFF); RUNTEST 10 TCK; -SDR 16 TDI (FFDD); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -1899,21 +1899,21 @@ SDR 16 TDI (FFEF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (F0F0); +SDR 16 TDI (FFF0); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BF9F); RUNTEST 10 TCK; SDR 16 TDI (7FF8); RUNTEST 10 TCK; -SDR 16 TDI (6300); +SDR 16 TDI (68FF); RUNTEST 10 TCK; -SDR 16 TDI (020F); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (B000); +SDR 16 TDI (B7FF); RUNTEST 10 TCK; -SDR 16 TDI (0075); +SDR 16 TDI (FFF5); RUNTEST 10 TCK; -SDR 16 TDI (69FF); +SDR 16 TDI (79FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -1931,21 +1931,21 @@ SDR 16 TDI (FFDF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (F3F0); +SDR 16 TDI (FFF0); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BF9B); RUNTEST 10 TCK; SDR 16 TDI (FFF8); RUNTEST 10 TCK; -SDR 16 TDI (6300); +SDR 16 TDI (68FF); RUNTEST 10 TCK; -SDR 16 TDI (000F); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (B808); +SDR 16 TDI (AFFF); RUNTEST 10 TCK; -SDR 16 TDI (027E); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7DFF); +SDR 16 TDI (6DFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -1963,19 +1963,19 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (F0F0); +SDR 16 TDI (FFF0); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BF9F); RUNTEST 10 TCK; SDR 16 TDI (FFF8); RUNTEST 10 TCK; -SDR 16 TDI (63C0); +SDR 16 TDI (60FF); RUNTEST 10 TCK; -SDR 16 TDI (010F); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (B800); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (0875); +SDR 16 TDI (FFF5); RUNTEST 10 TCK; SDR 16 TDI (7BFF); RUNTEST 10 TCK; @@ -1995,21 +1995,21 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (F0F0); +SDR 16 TDI (FFF0); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BF9F); RUNTEST 10 TCK; SDR 16 TDI (FFF8); RUNTEST 10 TCK; -SDR 16 TDI (6300); +SDR 16 TDI (60FF); RUNTEST 10 TCK; -SDR 16 TDI (000F); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (B80E); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (047C); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (7BFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -2029,17 +2029,17 @@ SDR 16 TDI (6666); RUNTEST 10 TCK; SDR 16 TDI (7CCE); RUNTEST 10 TCK; -SDR 16 TDI (B98F); +SDR 16 TDI (B9CF); RUNTEST 10 TCK; SDR 16 TDI (999B); RUNTEST 10 TCK; -SDR 16 TDI (77CC); +SDR 16 TDI (73CC); RUNTEST 10 TCK; -SDR 16 TDI (E8E7); +SDR 16 TDI (CCC7); RUNTEST 10 TCK; -SDR 16 TDI (BB88); +SDR 16 TDI (B999); RUNTEST 10 TCK; -SDR 16 TDI (91FF); +SDR 16 TDI (99FF); RUNTEST 10 TCK; SDR 16 TDI (77FF); RUNTEST 10 TCK; @@ -2059,21 +2059,21 @@ SDR 16 TDI (74AB); RUNTEST 10 TCK; SDR 16 TDI (7777); RUNTEST 10 TCK; -SDR 16 TDI (7CDC); +SDR 16 TDI (7DDC); RUNTEST 10 TCK; -SDR 16 TDI (BBB5); +SDR 16 TDI (BB95); RUNTEST 10 TCK; SDR 16 TDI (5DD9); RUNTEST 10 TCK; -SDR 16 TDI (739C); +SDR 16 TDI (73DD); RUNTEST 10 TCK; -SDR 16 TDI (CDCA); +SDR 16 TDI (DDD2); RUNTEST 10 TCK; -SDR 16 TDI (B1DD); +SDR 16 TDI (B5DD); RUNTEST 10 TCK; -SDR 16 TDI (9CFC); +SDR 16 TDI (DDFF); RUNTEST 10 TCK; -SDR 16 TDI (7BFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -2097,21 +2097,21 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (6FF7); -RUNTEST 10 TCK; -SDR 16 TDI (7F7F); +SDR 16 TDI (6FFF); RUNTEST 10 TCK; -SDR 16 TDI (B77F); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (B7FF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (FFDF); +RUNTEST 10 TCK; +SDR 16 TDI (7BFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFD); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -2131,7 +2131,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (DFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -2151,23 +2151,23 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFD); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BEFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFB); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (7FBF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFBF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFE); RUNTEST 10 TCK; -SDR 16 TDI (DFFD); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -2175,7 +2175,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (AFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFE); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -2183,13 +2183,13 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFD); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BEFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -2199,7 +2199,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (DFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -2223,11 +2223,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (DFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -2243,7 +2243,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFD); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -2255,13 +2255,13 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FF7F); +SDR 16 TDI (FDFF); RUNTEST 10 TCK; -SDR 16 TDI (6FFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFDF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -2271,21 +2271,13 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFEF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); -RUNTEST 10 TCK; -SDR 16 TDI (FFFE); -RUNTEST 10 TCK; -SDR 16 TDI (77F7); -RUNTEST 10 TCK; -SDR 16 TDI (FF7F); -RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFE); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -2293,7 +2285,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BBFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -2309,50 +2301,34 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFEF); -RUNTEST 10 TCK; -SDR 16 TDI (FFFF); -RUNTEST 10 TCK; -SDR 16 TDI (7FF7); -RUNTEST 10 TCK; -SDR 16 TDI (EE7F); -RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FF7F); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FEFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFBB); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EFFB); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (B7FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFB); -RUNTEST 10 TCK; -SDR 16 TDI (BFFF); -RUNTEST 10 TCK; -SDR 16 TDI (FFFD); +SDR 16 TDI (FFBF); RUNTEST 10 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (BEEF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); -RUNTEST 10 TCK; -SDR 16 TDI (FFFE); -RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); @@ -2365,7 +2341,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFD); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -2375,15 +2351,15 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BBFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FDFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFE); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EFFF); RUNTEST 10 TCK; -SDR 16 TDI (B7FF); +SDR 16 TDI (BFDF); RUNTEST 10 TCK; -SDR 16 TDI (FDFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -2391,7 +2367,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -2403,21 +2379,21 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EDBF); RUNTEST 10 TCK; -SDR 16 TDI (ADFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (F7FF); +SDR 16 TDI (FBFD); RUNTEST 10 TCK; -SDR 16 TDI (6FFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (AFF7); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (BFBF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -2435,27 +2411,27 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EFFE); RUNTEST 10 TCK; -SDR 16 TDI (B7EE); +SDR 16 TDI (B7BF); RUNTEST 10 TCK; -SDR 16 TDI (F7FF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7BFF); +SDR 16 TDI (7FDF); RUNTEST 10 TCK; -SDR 16 TDI (FFF7); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; -SDR 16 TDI (AABF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFE); RUNTEST 10 TCK; -SDR 16 TDI (7BFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -2467,21 +2443,21 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FFFD); RUNTEST 10 TCK; -SDR 16 TDI (BDFF); +SDR 16 TDI (BFBF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (7FBF); RUNTEST 10 TCK; -SDR 16 TDI (3FFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFDF); RUNTEST 10 TCK; -SDR 16 TDI (FDFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (6FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -2493,23 +2469,23 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (B77F); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FD7F); RUNTEST 10 TCK; -SDR 16 TDI (BDAB); +SDR 16 TDI (B7FF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FDFD); RUNTEST 10 TCK; -SDR 16 TDI (7FBF); +SDR 16 TDI (7FEF); RUNTEST 10 TCK; -SDR 16 TDI (FFEF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (BFDF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -2517,9 +2493,9 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (B77F); RUNTEST 10 TCK; -SDR 16 TDI (FFFD); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -2533,17 +2509,17 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (B7FF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (EFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7BF7); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7F7F); RUNTEST 10 TCK; -SDR 16 TDI (BFFD); +SDR 16 TDI (BF35); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F77D); RUNTEST 10 TCK; SDR 16 TDI (7BFF); RUNTEST 10 TCK; @@ -2553,71 +2529,71 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (A77F); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F7FB); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFDE); RUNTEST 10 TCK; -SDR 16 TDI (F7FB); +SDR 16 TDI (FDFF); RUNTEST 10 TCK; -SDR 16 TDI (76FF); +SDR 16 TDI (7DDD); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BDFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFA); +SDR 16 TDI (DFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (A77F); RUNTEST 10 TCK; -SDR 16 TDI (FFFD); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7DFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (ABBF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FAD9); RUNTEST 10 TCK; -SDR 16 TDI (BFDD); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFD); +SDR 16 TDI (DEAA); RUNTEST 10 TCK; -SDR 16 TDI (7DDF); +SDR 16 TDI (7EFF); RUNTEST 10 TCK; -SDR 16 TDI (FEFF); +SDR 16 TDI (EFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FDBF); +SDR 16 TDI (FFFD); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (75FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (ABBF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (79FF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -2627,21 +2603,21 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FEFF); RUNTEST 10 TCK; -SDR 16 TDI (BEFF); +SDR 16 TDI (BADF); RUNTEST 10 TCK; -SDR 16 TDI (7BF7); +SDR 16 TDI (FBFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFC); +SDR 16 TDI (7F7D); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (5F7F); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BDF5); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DF7F); RUNTEST 10 TCK; -SDR 16 TDI (75FF); +SDR 16 TDI (7DFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -2659,21 +2635,21 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFBF); RUNTEST 10 TCK; -SDR 16 TDI (BFF6); +SDR 16 TDI (B7FF); RUNTEST 10 TCK; -SDR 16 TDI (FFBD); +SDR 16 TDI (EFFF); RUNTEST 10 TCK; -SDR 16 TDI (6BEB); +SDR 16 TDI (7FEF); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFDF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7DFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -2691,19 +2667,19 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; -SDR 16 TDI (BDF5); +SDR 16 TDI (BEFD); RUNTEST 10 TCK; -SDR 16 TDI (3FB1); +SDR 16 TDI (DFFF); RUNTEST 10 TCK; -SDR 16 TDI (7DF9); +SDR 16 TDI (7BFF); RUNTEST 10 TCK; -SDR 16 TDI (FEFF); +SDR 16 TDI (FDDF); RUNTEST 10 TCK; -SDR 16 TDI (BFBB); +SDR 16 TDI (BFBF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FDFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -2717,35 +2693,35 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BAAF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FEFF); RUNTEST 10 TCK; -SDR 16 TDI (BF5A); +SDR 16 TDI (B73D); RUNTEST 10 TCK; -SDR 16 TDI (F7FF); +SDR 16 TDI (EBFF); RUNTEST 10 TCK; -SDR 16 TDI (6BAF); +SDR 16 TDI (7BF7); RUNTEST 10 TCK; -SDR 16 TDI (7FDF); +SDR 16 TDI (7F7F); RUNTEST 10 TCK; -SDR 16 TDI (BFDF); +SDR 16 TDI (BF55); RUNTEST 10 TCK; -SDR 16 TDI (FFFC); +SDR 16 TDI (F77F); RUNTEST 10 TCK; SDR 16 TDI (77FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BAAF); RUNTEST 10 TCK; -SDR 16 TDI (FFEE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -2755,21 +2731,29 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FD9F); RUNTEST 10 TCK; -SDR 16 TDI (B6EF); +SDR 16 TDI (BEDF); RUNTEST 10 TCK; -SDR 16 TDI (FBFE); +SDR 16 TDI (D7DF); RUNTEST 10 TCK; -SDR 16 TDI (775E); +SDR 16 TDI (7CEF); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (CEEF); RUNTEST 10 TCK; -SDR 16 TDI (BBFF); +SDR 16 TDI (BFBF); RUNTEST 10 TCK; -SDR 16 TDI (FBBF); +SDR 16 TDI (FBEE); +RUNTEST 10 TCK; +SDR 16 TDI (77FF); RUNTEST 10 TCK; -SDR 16 TDI (78FF); +SDR 16 TDI (FFFF); +RUNTEST 10 TCK; +SDR 16 TDI (BFFF); +RUNTEST 10 TCK; +SDR 16 TDI (FFFF); +RUNTEST 10 TCK; +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -2779,6 +2763,22 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; +SDR 16 TDI (F3E1); +RUNTEST 10 TCK; +SDR 16 TDI (BDFE); +RUNTEST 10 TCK; +SDR 16 TDI (BCEC); +RUNTEST 10 TCK; +SDR 16 TDI (779C); +RUNTEST 10 TCK; +SDR 16 TDI (BFBF); +RUNTEST 10 TCK; +SDR 16 TDI (B9EB); +RUNTEST 10 TCK; +SDR 16 TDI (9EFF); +RUNTEST 10 TCK; +SDR 16 TDI (7FFF); +RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (A55F); @@ -2789,27 +2789,27 @@ SDR 16 TDI (7FDF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BEBC); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (3FEF); +SDR 16 TDI (FFEF); RUNTEST 10 TCK; -SDR 16 TDI (6089); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (F303); +SDR 16 TDI (FCEB); RUNTEST 10 TCK; -SDR 16 TDI (A07F); +SDR 16 TDI (BA9F); RUNTEST 10 TCK; -SDR 16 TDI (7E1A); +SDR 16 TDI (2AD5); RUNTEST 10 TCK; -SDR 16 TDI (69FF); +SDR 16 TDI (6D20); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (0C8F); RUNTEST 10 TCK; -SDR 16 TDI (B7FF); +SDR 16 TDI (B000); RUNTEST 10 TCK; -SDR 16 TDI (FFF5); +SDR 16 TDI (0075); RUNTEST 10 TCK; -SDR 16 TDI (65FF); +SDR 16 TDI (69FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -2821,25 +2821,25 @@ SDR 16 TDI (7FBF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BE80); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (3F73); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; -SDR 16 TDI (6801); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (F303); +SDR 16 TDI (F0E1); RUNTEST 10 TCK; -SDR 16 TDI (A07F); +SDR 16 TDI (BA1B); RUNTEST 10 TCK; -SDR 16 TDI (FE1A); +SDR 16 TDI (AAD5); RUNTEST 10 TCK; -SDR 16 TDI (60FF); +SDR 16 TDI (6020); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (0C0F); RUNTEST 10 TCK; -SDR 16 TDI (AFFF); +SDR 16 TDI (A800); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (017F); RUNTEST 10 TCK; SDR 16 TDI (7DFF); RUNTEST 10 TCK; @@ -2851,29 +2851,29 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (A3FD); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (0FFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (61F0); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (7303); +SDR 16 TDI (FF92); RUNTEST 10 TCK; -SDR 16 TDI (A01F); +SDR 16 TDI (BDFF); RUNTEST 10 TCK; -SDR 16 TDI (FE1A); +SDR 16 TDI (D9FF); RUNTEST 10 TCK; -SDR 16 TDI (60FF); +SDR 16 TDI (7F00); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (4C8F); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (B80E); RUNTEST 10 TCK; -SDR 16 TDI (FFF5); +SDR 16 TDI (6075); RUNTEST 10 TCK; -SDR 16 TDI (67FF); +SDR 16 TDI (6BFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -2883,27 +2883,27 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (A038); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (0FF1); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (60E0); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (7303); +SDR 16 TDI (F692); RUNTEST 10 TCK; -SDR 16 TDI (A01F); +SDR 16 TDI (BDFF); RUNTEST 10 TCK; -SDR 16 TDI (FE1A); +SDR 16 TDI (C1FF); RUNTEST 10 TCK; -SDR 16 TDI (65FF); +SDR 16 TDI (720C); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (0C0F); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BE06); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (017F); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -2917,25 +2917,25 @@ SDR 16 TDI (63E6); RUNTEST 10 TCK; SDR 16 TDI (6667); RUNTEST 10 TCK; -SDR 16 TDI (BB31); +SDR 16 TDI (B333); RUNTEST 10 TCK; -SDR 16 TDI (39F3); +SDR 16 TDI (31F3); RUNTEST 10 TCK; -SDR 16 TDI (6566); +SDR 16 TDI (6666); RUNTEST 10 TCK; -SDR 16 TDI (FEEE); +SDR 16 TDI (7CCD); RUNTEST 10 TCK; -SDR 16 TDI (B88F); +SDR 16 TDI (B98F); RUNTEST 10 TCK; -SDR 16 TDI (9B9F); +SDR 16 TDI (99BB); RUNTEST 10 TCK; -SDR 16 TDI (66CC); +SDR 16 TDI (7328); RUNTEST 10 TCK; -SDR 16 TDI (CCC7); +SDR 16 TDI (2EC7); RUNTEST 10 TCK; -SDR 16 TDI (B999); +SDR 16 TDI (B9B1); RUNTEST 10 TCK; -SDR 16 TDI (99F7); +SDR 16 TDI (88FF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -2949,23 +2949,23 @@ SDR 16 TDI (6957); RUNTEST 10 TCK; SDR 16 TDI (7777); RUNTEST 10 TCK; -SDR 16 TDI (B332); +SDR 16 TDI (B777); RUNTEST 10 TCK; -SDR 16 TDI (32A3); +SDR 16 TDI (74AB); RUNTEST 10 TCK; -SDR 16 TDI (6676); +SDR 16 TDI (7777); RUNTEST 10 TCK; -SDR 16 TDI (7CCC); +SDR 16 TDI (7CDC); RUNTEST 10 TCK; -SDR 16 TDI (B995); +SDR 16 TDI (B985); RUNTEST 10 TCK; -SDR 16 TDI (5998); +SDR 16 TDI (1D99); RUNTEST 10 TCK; -SDR 16 TDI (73DD); +SDR 16 TDI (73CD); RUNTEST 10 TCK; -SDR 16 TDI (DDD2); +SDR 16 TDI (CC9A); RUNTEST 10 TCK; -SDR 16 TDI (B5DD); +SDR 16 TDI (B48C); RUNTEST 10 TCK; SDR 16 TDI (DDFF); RUNTEST 10 TCK; @@ -2981,25 +2981,25 @@ SDR 16 TDI (7FDF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFD); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFEF); RUNTEST 10 TCK; -SDR 16 TDI (6DFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FF7F); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (AEDF); +SDR 16 TDI (BEEF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7BFF); RUNTEST 10 TCK; -SDR 16 TDI (6EFF); +SDR 16 TDI (7F7F); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (B7FF); +SDR 16 TDI (B7F7); RUNTEST 10 TCK; -SDR 16 TDI (FFF7); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -3013,21 +3013,21 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (B7FF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFB); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FEF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FEFF); RUNTEST 10 TCK; -SDR 16 TDI (AF5F); +SDR 16 TDI (BFDF); RUNTEST 10 TCK; -SDR 16 TDI (FBFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7BDF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFBF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -3045,21 +3045,21 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFA); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FBFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (6FBF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FF7F); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FF7F); +SDR 16 TDI (FBFF); RUNTEST 10 TCK; -SDR 16 TDI (6FFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -3077,7 +3077,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BBFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -3085,13 +3085,13 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BEDF); +SDR 16 TDI (BFDF); RUNTEST 10 TCK; -SDR 16 TDI (FDFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (6FFF); +SDR 16 TDI (7FEF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FF7F); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -3111,19 +3111,19 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFB); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FF7); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FF7F); +SDR 16 TDI (FEDF); RUNTEST 10 TCK; -SDR 16 TDI (AFFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FF7F); +SDR 16 TDI (DFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (77FF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFEF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -3137,23 +3137,23 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (6FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFD); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7F7F); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FDFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FEFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7DF7); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -3181,17 +3181,17 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BDFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DDFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (EFFF); +SDR 16 TDI (FDFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -3203,27 +3203,27 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFD); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FBF5); +SDR 16 TDI (EFFD); RUNTEST 10 TCK; -SDR 16 TDI (7FFE); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (EFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFDF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FF7F); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (77FE); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -3233,7 +3233,7 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (77FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -3241,21 +3241,21 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7EFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FDFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BBFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BBFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7EF7); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (F7FF); +SDR 16 TDI (FDFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -3269,17 +3269,17 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFDD); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7BFF); +SDR 16 TDI (7BFD); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EEFB); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FBBF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -3309,11 +3309,11 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (EFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (AFBF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FF7B); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (77FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -3333,25 +3333,25 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BBFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (F7FF); RUNTEST 10 TCK; -SDR 16 TDI (7EFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFF7); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (AFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FF4F); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7DFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFD); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -3365,25 +3365,25 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFEE); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7DFF); +SDR 16 TDI (7BFB); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FDFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FDFD); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (77FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFD); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -3397,17 +3397,17 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (AFFF); +SDR 16 TDI (BBFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FEF7); RUNTEST 10 TCK; -SDR 16 TDI (7FFB); +SDR 16 TDI (76FF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FBFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFDF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFB); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -3431,17 +3431,17 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (BBF7); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFE); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FDFD); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BDFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F3FE); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7BFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -3449,7 +3449,7 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (75FF); +SDR 16 TDI (79FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -3461,19 +3461,19 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (B77F); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (E7FF); RUNTEST 10 TCK; -SDR 16 TDI (7FF7); +SDR 16 TDI (7FF3); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFBF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (B7FF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BEDF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7DFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -3481,7 +3481,7 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFF5); RUNTEST 10 TCK; -SDR 16 TDI (6DFF); +SDR 16 TDI (65FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -3493,19 +3493,19 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (AABF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FBFF); RUNTEST 10 TCK; -SDR 16 TDI (7FEB); +SDR 16 TDI (6FEF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EFFE); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7BFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -3513,7 +3513,7 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFEE); RUNTEST 10 TCK; -SDR 16 TDI (79FF); +SDR 16 TDI (7DFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -3525,17 +3525,17 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFB); +SDR 16 TDI (B7FF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (6F5F); +SDR 16 TDI (7F7F); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FBE7); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BEFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -3559,15 +3559,15 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFB); +SDR 16 TDI (EFBB); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFB); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FD7B); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (AF5F); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FF5F); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -3589,19 +3589,19 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (B33F); +SDR 16 TDI (B7FF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (AFB7); RUNTEST 10 TCK; -SDR 16 TDI (7FA7); +SDR 16 TDI (7F7F); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FBAD); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (AA5F); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (AFBD); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7DFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -3623,15 +3623,15 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (F7F7); +SDR 16 TDI (D9FF); RUNTEST 10 TCK; -SDR 16 TDI (6EFF); +SDR 16 TDI (67E9); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F5F3); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (B7FF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FF7E); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -3639,7 +3639,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -3651,21 +3651,21 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (AEFB); +SDR 16 TDI (BBFF); RUNTEST 10 TCK; -SDR 16 TDI (FFF9); +SDR 16 TDI (F7FB); RUNTEST 10 TCK; -SDR 16 TDI (7FF9); +SDR 16 TDI (7EF7); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (FE7F); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFBF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (D4DF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (63FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -3685,19 +3685,19 @@ SDR 16 TDI (7FDF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (B530); +SDR 16 TDI (BFFC); RUNTEST 10 TCK; -SDR 16 TDI (03E3); +SDR 16 TDI (FFE0); RUNTEST 10 TCK; -SDR 16 TDI (67AF); +SDR 16 TDI (67FF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F208); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (B71F); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (3861); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (70FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -3717,27 +3717,27 @@ SDR 16 TDI (7FBF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (B130); +SDR 16 TDI (BFFC); RUNTEST 10 TCK; -SDR 16 TDI (03D3); +SDR 16 TDI (F770); RUNTEST 10 TCK; -SDR 16 TDI (67BF); +SDR 16 TDI (67F7); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F280); RUNTEST 10 TCK; -SDR 16 TDI (BFFE); +SDR 16 TDI (A71E); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (9E61); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (60FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (AFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFE); RUNTEST 10 TCK; -SDR 16 TDI (75FF); +SDR 16 TDI (7DFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -3747,29 +3747,29 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFE); RUNTEST 10 TCK; -SDR 16 TDI (BC30); +SDR 16 TDI (AFFC); RUNTEST 10 TCK; -SDR 16 TDI (03F1); +SDR 16 TDI (F9FC); RUNTEST 10 TCK; -SDR 16 TDI (67BE); +SDR 16 TDI (7E6F); RUNTEST 10 TCK; -SDR 16 TDI (1FFF); +SDR 16 TDI (F500); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (B71F); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (9C01); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (72FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFF4); +SDR 16 TDI (FFF5); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (77FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -3779,27 +3779,27 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFE); RUNTEST 10 TCK; -SDR 16 TDI (BC30); +SDR 16 TDI (AFFC); RUNTEST 10 TCK; -SDR 16 TDI (03F1); +SDR 16 TDI (FBFC); RUNTEST 10 TCK; -SDR 16 TDI (67BE); +SDR 16 TDI (7E6F); RUNTEST 10 TCK; -SDR 16 TDI (1FFF); +SDR 16 TDI (F180); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (A3DF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (8600); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (62FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFB); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -3813,27 +3813,27 @@ SDR 16 TDI (63E6); RUNTEST 10 TCK; SDR 16 TDI (6667); RUNTEST 10 TCK; -SDR 16 TDI (A3BB); +SDR 16 TDI (B333); RUNTEST 10 TCK; -SDR 16 TDI (B9F3); +SDR 16 TDI (3BF1); RUNTEST 10 TCK; -SDR 16 TDI (6EE2); +SDR 16 TDI (666E); RUNTEST 10 TCK; -SDR 16 TDI (FCCC); +SDR 16 TDI (7CCD); RUNTEST 10 TCK; -SDR 16 TDI (B98F); +SDR 16 TDI (BF8F); RUNTEST 10 TCK; -SDR 16 TDI (9999); +SDR 16 TDI (BCB7); RUNTEST 10 TCK; -SDR 16 TDI (73CC); +SDR 16 TDI (77CC); RUNTEST 10 TCK; SDR 16 TDI (CCC7); RUNTEST 10 TCK; SDR 16 TDI (B999); RUNTEST 10 TCK; -SDR 16 TDI (99F5); +SDR 16 TDI (99FF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (6FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -3845,25 +3845,25 @@ SDR 16 TDI (6957); RUNTEST 10 TCK; SDR 16 TDI (7777); RUNTEST 10 TCK; -SDR 16 TDI (B733); +SDR 16 TDI (B377); RUNTEST 10 TCK; -SDR 16 TDI (32A9); +SDR 16 TDI (30A9); RUNTEST 10 TCK; -SDR 16 TDI (6676); +SDR 16 TDI (7667); RUNTEST 10 TCK; SDR 16 TDI (7DDD); RUNTEST 10 TCK; -SDR 16 TDI (BBA5); +SDR 16 TDI (B9B5); RUNTEST 10 TCK; -SDR 16 TDI (5DDD); +SDR 16 TDI (1C89); RUNTEST 10 TCK; -SDR 16 TDI (7BDD); +SDR 16 TDI (73DD); RUNTEST 10 TCK; SDR 16 TDI (DDD2); RUNTEST 10 TCK; SDR 16 TDI (B5DD); RUNTEST 10 TCK; -SDR 16 TDI (DDFB); +SDR 16 TDI (DDFE); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -3877,17 +3877,17 @@ SDR 16 TDI (7FDF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFD); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (DFEF); +SDR 16 TDI (FFEF); RUNTEST 10 TCK; -SDR 16 TDI (7FEF); +SDR 16 TDI (6FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FEFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFDF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7BF7); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -3895,7 +3895,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (B7FF); RUNTEST 10 TCK; -SDR 16 TDI (FFF7); +SDR 16 TDI (FFFD); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -3911,15 +3911,15 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFB); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FAF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DFFD); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -3941,19 +3941,19 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFB); +SDR 16 TDI (BEEF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (75F7); +SDR 16 TDI (7F7F); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFEF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFDF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EAB7); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (77FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -3975,15 +3975,15 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7FF7); RUNTEST 10 TCK; -SDR 16 TDI (7DF7); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFF5); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -4009,13 +4009,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (7F2E); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -4037,25 +4037,25 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BDF7); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FDF); RUNTEST 10 TCK; -SDR 16 TDI (DFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BF7F); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FD7F); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BEFF); +SDR 16 TDI (BEEF); RUNTEST 10 TCK; -SDR 16 TDI (F7FF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -4067,23 +4067,23 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FF7F); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFE); RUNTEST 10 TCK; -SDR 16 TDI (FFFB); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (EFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7F7F); +SDR 16 TDI (7EFF); RUNTEST 10 TCK; -SDR 16 TDI (FBFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -4093,33 +4093,33 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFE); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFB); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFBF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (B9EF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FEF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (EFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BDFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BFEB); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (76FF); RUNTEST 10 TCK; -SDR 16 TDI (F7FF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (F7FF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -4131,25 +4131,25 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FF7F); RUNTEST 10 TCK; -SDR 16 TDI (BFFB); +SDR 16 TDI (BFFE); RUNTEST 10 TCK; -SDR 16 TDI (FFF7); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FDF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BF7F); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FCFF); RUNTEST 10 TCK; -SDR 16 TDI (7FBF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BEFF); +SDR 16 TDI (BEF7); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -4163,19 +4163,19 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFEF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFD); +SDR 16 TDI (7DFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFD); +SDR 16 TDI (EDFD); RUNTEST 10 TCK; -SDR 16 TDI (BBFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FDFD); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -4183,7 +4183,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFEF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -4191,31 +4191,31 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (EFEF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FF7); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFD); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFB); +SDR 16 TDI (F7FF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FEF); RUNTEST 10 TCK; -SDR 16 TDI (EFFF); +SDR 16 TDI (EFF7); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BBBF); RUNTEST 10 TCK; -SDR 16 TDI (DFFF); +SDR 16 TDI (FEF7); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7EFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFC); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -4223,25 +4223,25 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (DFD5); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FF7); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F7FF); RUNTEST 10 TCK; -SDR 16 TDI (7FFD); +SDR 16 TDI (6FBF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FDF5); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BBFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FD3F); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (77FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -4249,7 +4249,7 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (6FFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -4259,27 +4259,27 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFF3); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7BF7); RUNTEST 10 TCK; -SDR 16 TDI (DFFD); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BDFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFF3); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7DFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFEB); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -4287,11 +4287,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (A55F); RUNTEST 10 TCK; -SDR 16 TDI (FBBE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFA); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (EEFB); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -4299,75 +4299,75 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFEF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (77FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFF4); +SDR 16 TDI (FFF5); RUNTEST 10 TCK; -SDR 16 TDI (79FF); +SDR 16 TDI (7DFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (AFFF); RUNTEST 10 TCK; -SDR 16 TDI (6EFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFAF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFBF); RUNTEST 10 TCK; -SDR 16 TDI (E7FF); +SDR 16 TDI (FDFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7EBF); RUNTEST 10 TCK; -SDR 16 TDI (FBEB); +SDR 16 TDI (77FF); RUNTEST 10 TCK; -SDR 16 TDI (BD5F); +SDR 16 TDI (BDDF); RUNTEST 10 TCK; -SDR 16 TDI (AABB); +SDR 16 TDI (F54F); RUNTEST 10 TCK; -SDR 16 TDI (75FF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFE); RUNTEST 10 TCK; -SDR 16 TDI (7DFF); +SDR 16 TDI (75FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (A55F); RUNTEST 10 TCK; -SDR 16 TDI (FFF5); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FF3); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFBF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFBF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FEF); RUNTEST 10 TCK; -SDR 16 TDI (F9FD); +SDR 16 TDI (FEFE); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BF7F); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -4377,17 +4377,17 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFF5); RUNTEST 10 TCK; -SDR 16 TDI (65FF); +SDR 16 TDI (6DFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (AAAF); RUNTEST 10 TCK; -SDR 16 TDI (EEFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -4395,11 +4395,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFB); +SDR 16 TDI (FDFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BDFF); RUNTEST 10 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (ABFE); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -4407,33 +4407,33 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7DFF); +SDR 16 TDI (79FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (9FFE); +SDR 16 TDI (9FFF); RUNTEST 10 TCK; -SDR 16 TDI (BBAB); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (77EE); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FEFB); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (9FE7); +SDR 16 TDI (9FFF); RUNTEST 10 TCK; -SDR 16 TDI (E7FF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (77FB); RUNTEST 10 TCK; -SDR 16 TDI (FFBF); +SDR 16 TDI (FBBB); RUNTEST 10 TCK; -SDR 16 TDI (B77F); +SDR 16 TDI (B7BF); RUNTEST 10 TCK; -SDR 16 TDI (EEEF); +SDR 16 TDI (FEF7); RUNTEST 10 TCK; -SDR 16 TDI (7DFF); +SDR 16 TDI (7AFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -4445,27 +4445,27 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (9FFE); +SDR 16 TDI (9FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFEF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFEF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFB); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F7FB); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FEB); RUNTEST 10 TCK; -SDR 16 TDI (FDEF); +SDR 16 TDI (FFBE); RUNTEST 10 TCK; -SDR 16 TDI (BDDF); +SDR 16 TDI (BEFF); RUNTEST 10 TCK; -SDR 16 TDI (BBEF); +SDR 16 TDI (FFFB); RUNTEST 10 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (7EFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -4473,31 +4473,31 @@ SDR 16 TDI (9FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFD); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (599F); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (67E2); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FEBB); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (D7FF); +SDR 16 TDI (BFF7); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (6F53); RUNTEST 10 TCK; -SDR 16 TDI (F89D); +SDR 16 TDI (FA7C); RUNTEST 10 TCK; -SDR 16 TDI (973F); +SDR 16 TDI (93BF); RUNTEST 10 TCK; -SDR 16 TDI (CC8F); +SDR 16 TDI (BAD3); RUNTEST 10 TCK; -SDR 16 TDI (57FF); +SDR 16 TDI (79FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -4511,25 +4511,25 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (9AAF); RUNTEST 10 TCK; -SDR 16 TDI (B771); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFD); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (7F75); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (9FEF); +SDR 16 TDI (9FFB); RUNTEST 10 TCK; -SDR 16 TDI (EFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (7FEF); RUNTEST 10 TCK; -SDR 16 TDI (FFEF); +SDR 16 TDI (7FFB); RUNTEST 10 TCK; SDR 16 TDI (BDDF); RUNTEST 10 TCK; -SDR 16 TDI (BBF7); +SDR 16 TDI (FDEF); RUNTEST 10 TCK; -SDR 16 TDI (7BFF); +SDR 16 TDI (76FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -4537,31 +4537,31 @@ SDR 16 TDI (9FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (6BFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (9FFE); +SDR 16 TDI (9FFF); RUNTEST 10 TCK; -SDR 16 TDI (EEEE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (B7CF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFB); +SDR 16 TDI (BF7F); RUNTEST 10 TCK; -SDR 16 TDI (FBFF); +SDR 16 TDI (F97F); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFD); RUNTEST 10 TCK; -SDR 16 TDI (F7F3); +SDR 16 TDI (F59F); RUNTEST 10 TCK; -SDR 16 TDI (9AFF); +SDR 16 TDI (9E7F); RUNTEST 10 TCK; -SDR 16 TDI (F77D); +SDR 16 TDI (C77E); RUNTEST 10 TCK; -SDR 16 TDI (7CFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -4569,63 +4569,63 @@ SDR 16 TDI (9FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (A55F); RUNTEST 10 TCK; -SDR 16 TDI (E3FC); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (6DC0); +SDR 16 TDI (7FDF); RUNTEST 10 TCK; -SDR 16 TDI (20E1); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFDB); +SDR 16 TDI (BC33); RUNTEST 10 TCK; -SDR 16 TDI (DEEF); +SDR 16 TDI (0FEC); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7992); RUNTEST 10 TCK; -SDR 16 TDI (FF0F); +SDR 16 TDI (130E); RUNTEST 10 TCK; -SDR 16 TDI (9917); +SDR 16 TDI (9A1F); RUNTEST 10 TCK; -SDR 16 TDI (517F); +SDR 16 TDI (0AAC); RUNTEST 10 TCK; -SDR 16 TDI (52FF); +SDR 16 TDI (6FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (B7FF); RUNTEST 10 TCK; -SDR 16 TDI (FFF5); +SDR 16 TDI (FFF4); RUNTEST 10 TCK; -SDR 16 TDI (7DFF); +SDR 16 TDI (75FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (AFFF); RUNTEST 10 TCK; -SDR 16 TDI (E3FC); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (67A8); +SDR 16 TDI (7FBF); RUNTEST 10 TCK; -SDR 16 TDI (2083); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (9FDB); +SDR 16 TDI (9C33); RUNTEST 10 TCK; -SDR 16 TDI (DFDF); +SDR 16 TDI (0FFC); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7800); RUNTEST 10 TCK; -SDR 16 TDI (FF0F); +SDR 16 TDI (1BFE); RUNTEST 10 TCK; -SDR 16 TDI (B81E); +SDR 16 TDI (BB1E); RUNTEST 10 TCK; -SDR 16 TDI (A877); +SDR 16 TDI (886C); RUNTEST 10 TCK; -SDR 16 TDI (73FF); +SDR 16 TDI (6FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -4633,69 +4633,69 @@ SDR 16 TDI (8FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7DFF); +SDR 16 TDI (69FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (955F); RUNTEST 10 TCK; -SDR 16 TDI (0033); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (57F9); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (E1FF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFD7); +SDR 16 TDI (BC33); RUNTEST 10 TCK; -SDR 16 TDI (DFFF); +SDR 16 TDI (0DFC); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7800); RUNTEST 10 TCK; -SDR 16 TDI (FF20); +SDR 16 TDI (170C); RUNTEST 10 TCK; -SDR 16 TDI (8ABF); +SDR 16 TDI (9F9F); RUNTEST 10 TCK; -SDR 16 TDI (9078); +SDR 16 TDI (8EB2); RUNTEST 10 TCK; -SDR 16 TDI (66FF); +SDR 16 TDI (6FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (9FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFF4); +SDR 16 TDI (FFF5); RUNTEST 10 TCK; -SDR 16 TDI (57FF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFD); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (0893); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FF1); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (E003); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (9FD7); +SDR 16 TDI (9C33); RUNTEST 10 TCK; -SDR 16 TDI (C3FF); +SDR 16 TDI (0FFC); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (798A); RUNTEST 10 TCK; -SDR 16 TDI (FD21); +SDR 16 TDI (1F1C); RUNTEST 10 TCK; -SDR 16 TDI (8BFF); +SDR 16 TDI (9FFF); RUNTEST 10 TCK; -SDR 16 TDI (C878); +SDR 16 TDI (FE32); RUNTEST 10 TCK; -SDR 16 TDI (4FFF); +SDR 16 TDI (6DFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFED); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -4703,25 +4703,25 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (9FFF); RUNTEST 10 TCK; -SDR 16 TDI (3323); +SDR 16 TDI (3333); RUNTEST 10 TCK; -SDR 16 TDI (73EE); +SDR 16 TDI (63E6); RUNTEST 10 TCK; -SDR 16 TDI (26E7); +SDR 16 TDI (6667); RUNTEST 10 TCK; -SDR 16 TDI (933B); +SDR 16 TDI (93BB); RUNTEST 10 TCK; -SDR 16 TDI (39F3); +SDR 16 TDI (33F3); RUNTEST 10 TCK; -SDR 16 TDI (4666); +SDR 16 TDI (6744); RUNTEST 10 TCK; -SDR 16 TDI (7CC9); +SDR 16 TDI (FCFE); RUNTEST 10 TCK; -SDR 16 TDI (BDCF); +SDR 16 TDI (B1CF); RUNTEST 10 TCK; -SDR 16 TDI (B99B); +SDR 16 TDI (BBF1); RUNTEST 10 TCK; -SDR 16 TDI (77CC); +SDR 16 TDI (73CC); RUNTEST 10 TCK; SDR 16 TDI (CCC7); RUNTEST 10 TCK; @@ -4729,69 +4729,69 @@ SDR 16 TDI (9999); RUNTEST 10 TCK; SDR 16 TDI (99FF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (6FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (9FFE); +SDR 16 TDI (9FFF); RUNTEST 10 TCK; SDR 16 TDI (7777); RUNTEST 10 TCK; -SDR 16 TDI (4547); +SDR 16 TDI (6957); RUNTEST 10 TCK; -SDR 16 TDI (6667); +SDR 16 TDI (7777); RUNTEST 10 TCK; -SDR 16 TDI (B773); +SDR 16 TDI (B733); RUNTEST 10 TCK; -SDR 16 TDI (70AB); +SDR 16 TDI (32A3); RUNTEST 10 TCK; -SDR 16 TDI (7777); +SDR 16 TDI (6666); RUNTEST 10 TCK; -SDR 16 TDI (79DD); +SDR 16 TDI (7DCC); RUNTEST 10 TCK; -SDR 16 TDI (9985); +SDR 16 TDI (9B95); RUNTEST 10 TCK; -SDR 16 TDI (1DD9); +SDR 16 TDI (199D); RUNTEST 10 TCK; -SDR 16 TDI (73DD); +SDR 16 TDI (79DD); RUNTEST 10 TCK; SDR 16 TDI (DDD2); RUNTEST 10 TCK; SDR 16 TDI (95DD); RUNTEST 10 TCK; -SDR 16 TDI (DDFF); +SDR 16 TDI (DDFE); RUNTEST 10 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FBBF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FDF); RUNTEST 10 TCK; -SDR 16 TDI (FDFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (9FFF); +SDR 16 TDI (9DFF); RUNTEST 10 TCK; SDR 16 TDI (FFEF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7EDD); RUNTEST 10 TCK; -SDR 16 TDI (FFEF); +SDR 16 TDI (DFFF); RUNTEST 10 TCK; SDR 16 TDI (9FFF); RUNTEST 10 TCK; -SDR 16 TDI (7BBF); +SDR 16 TDI (7FB7); RUNTEST 10 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (B7FF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFED); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -4799,23 +4799,23 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FF7F); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FEFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFD); RUNTEST 10 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (5FFD); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (AF7F); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FDFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -4837,19 +4837,19 @@ SDR 16 TDI (5FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BF7F); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7F7F); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (CED5); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (77FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -4863,23 +4863,23 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (AFFF); RUNTEST 10 TCK; -SDR 16 TDI (FF7F); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BF7F); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFD); RUNTEST 10 TCK; -SDR 16 TDI (FFFD); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BEFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FBF5); RUNTEST 10 TCK; SDR 16 TDI (5FFF); RUNTEST 10 TCK; @@ -4899,19 +4899,19 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FEFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFE); RUNTEST 10 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (5F7F); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (B7FF); RUNTEST 10 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (DFDF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -4939,7 +4939,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFD); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -4949,7 +4949,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BEFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -4969,17 +4969,17 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FBF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (EFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (AFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FEFF); RUNTEST 10 TCK; SDR 16 TDI (5FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FBFF); RUNTEST 10 TCK; SDR 16 TDI (BFEF); RUNTEST 10 TCK; @@ -4991,29 +4991,29 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFB); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFE); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFEF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FBFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (5FFB); RUNTEST 10 TCK; -SDR 16 TDI (EFFE); +SDR 16 TDI (EFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FF3F); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F7FF); RUNTEST 10 TCK; -SDR 16 TDI (BFF7); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5033,11 +5033,11 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FDF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFD); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (B7FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5045,7 +5045,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BEEF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5053,7 +5053,7 @@ SDR 16 TDI (5FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFDF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5063,7 +5063,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -5081,7 +5081,7 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (6FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5095,15 +5095,15 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F7FF); RUNTEST 10 TCK; SDR 16 TDI (5FFF); RUNTEST 10 TCK; -SDR 16 TDI (EFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (AFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -5127,13 +5127,13 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFBF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5149,7 +5149,7 @@ SDR 16 TDI (5FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFDF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5165,7 +5165,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (B7FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5177,7 +5177,7 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (6FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5189,19 +5189,19 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFDF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F7FF); RUNTEST 10 TCK; SDR 16 TDI (5FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FDFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7DFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5209,7 +5209,7 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFD); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7BFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5221,19 +5221,19 @@ SDR 16 TDI (5FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFB); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); -RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BDDF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (BFEB); +RUNTEST 10 TCK; +SDR 16 TDI (77FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5241,7 +5241,7 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (57FF); +SDR 16 TDI (5FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5263,7 +5263,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFBF); RUNTEST 10 TCK; SDR 16 TDI (5FFF); RUNTEST 10 TCK; @@ -5273,7 +5273,7 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFD); RUNTEST 10 TCK; -SDR 16 TDI (79FF); +SDR 16 TDI (75FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5285,11 +5285,11 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFB); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EBFF); RUNTEST 10 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (5FEE); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5305,7 +5305,7 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (75FF); +SDR 16 TDI (7DFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5317,19 +5317,19 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFEF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFEA); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (B57F); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (AFAA); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7DFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5355,13 +5355,13 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFB); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (B7DF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EFFE); RUNTEST 10 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (5BFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5383,7 +5383,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DFFF); RUNTEST 10 TCK; SDR 16 TDI (5FFF); RUNTEST 10 TCK; @@ -5391,7 +5391,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FDF7); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -5405,7 +5405,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (B2EF); +SDR 16 TDI (BAEF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5413,25 +5413,25 @@ SDR 16 TDI (5FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFCF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (E7FF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FEE); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (5FF3); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (A75F); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (E7EE); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (6CFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFA); +SDR 16 TDI (FFFB); RUNTEST 10 TCK; SDR 16 TDI (57FF); RUNTEST 10 TCK; @@ -5445,19 +5445,19 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFF3); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FBFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFEE); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (B9BF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (9F99); RUNTEST 10 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (53FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5469,7 +5469,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BD5F); +SDR 16 TDI (B95F); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5477,31 +5477,31 @@ SDR 16 TDI (7FDF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFF3); RUNTEST 10 TCK; SDR 16 TDI (FFEF); RUNTEST 10 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (5FF9); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FF36); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (A717); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (0000); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (77FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (B7FF); RUNTEST 10 TCK; -SDR 16 TDI (FFF5); +SDR 16 TDI (FFF4); RUNTEST 10 TCK; -SDR 16 TDI (69FF); +SDR 16 TDI (79FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (B7FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5509,31 +5509,31 @@ SDR 16 TDI (5FBF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFC3); RUNTEST 10 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (EBDF); RUNTEST 10 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (5FF8); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7F3A); RUNTEST 10 TCK; -SDR 16 TDI (BFFE); +SDR 16 TDI (B91E); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (8008); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (6FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (AFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (5DFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (B95F); +SDR 16 TDI (BD5F); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5541,19 +5541,19 @@ SDR 16 TDI (5FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFF3); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (CFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FF8); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7F35); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (A77F); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (806F); RUNTEST 10 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (4FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5561,11 +5561,11 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFF5); RUNTEST 10 TCK; -SDR 16 TDI (7BFF); +SDR 16 TDI (6BFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BDFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5573,17 +5573,17 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFE7); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (CFFF); RUNTEST 10 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (5FF8); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7F39); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (B17F); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (A07E); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -5591,7 +5591,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFD); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -5605,17 +5605,17 @@ SDR 16 TDI (43E6); RUNTEST 10 TCK; SDR 16 TDI (6667); RUNTEST 10 TCK; -SDR 16 TDI (B333); +SDR 16 TDI (B33B); RUNTEST 10 TCK; -SDR 16 TDI (31F3); +SDR 16 TDI (39F3); RUNTEST 10 TCK; -SDR 16 TDI (6666); +SDR 16 TDI (666E); RUNTEST 10 TCK; -SDR 16 TDI (7CCC); +SDR 16 TDI (FCEE); RUNTEST 10 TCK; -SDR 16 TDI (B98F); +SDR 16 TDI (BD8F); RUNTEST 10 TCK; -SDR 16 TDI (9999); +SDR 16 TDI (99BB); RUNTEST 10 TCK; SDR 16 TDI (73CC); RUNTEST 10 TCK; @@ -5637,31 +5637,31 @@ SDR 16 TDI (6957); RUNTEST 10 TCK; SDR 16 TDI (7777); RUNTEST 10 TCK; -SDR 16 TDI (B777); +SDR 16 TDI (B773); RUNTEST 10 TCK; -SDR 16 TDI (74AB); +SDR 16 TDI (70AB); RUNTEST 10 TCK; -SDR 16 TDI (7777); +SDR 16 TDI (7766); RUNTEST 10 TCK; -SDR 16 TDI (7DDD); +SDR 16 TDI (7DCC); RUNTEST 10 TCK; -SDR 16 TDI (9BA5); +SDR 16 TDI (99B5); RUNTEST 10 TCK; -SDR 16 TDI (5DDD); +SDR 16 TDI (4999); RUNTEST 10 TCK; -SDR 16 TDI (5BDD); +SDR 16 TDI (59DD); RUNTEST 10 TCK; SDR 16 TDI (DDD2); RUNTEST 10 TCK; SDR 16 TDI (B5DD); RUNTEST 10 TCK; -SDR 16 TDI (DDFD); +SDR 16 TDI (DDFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BDFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5677,9 +5677,9 @@ SDR 16 TDI (5FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFDF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7F7F); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -5707,9 +5707,9 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7FFB); RUNTEST 10 TCK; -SDR 16 TDI (B7FF); +SDR 16 TDI (BF7F); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5735,21 +5735,21 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFD); -RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFB); +SDR 16 TDI (7FFD); +RUNTEST 10 TCK; +SDR 16 TDI (FE5F); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FEFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (4FFF); +SDR 16 TDI (5FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFE); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5767,13 +5767,13 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (5FFD); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFDB); RUNTEST 10 TCK; -SDR 16 TDI (AFFF); +SDR 16 TDI (BEFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5801,13 +5801,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFE); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FF7F); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -5831,21 +5831,21 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFD); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFEF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BF7F); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F4FF); RUNTEST 10 TCK; -SDR 16 TDI (5EFF); +SDR 16 TDI (57FF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFDF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5861,17 +5861,17 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFD); +SDR 16 TDI (BFEB); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (5FFE); RUNTEST 10 TCK; SDR 16 TDI (EFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFBF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -5893,19 +5893,19 @@ SDR 16 TDI (5FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFE); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (EFFF); +SDR 16 TDI (EFDF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BF7F); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F77F); RUNTEST 10 TCK; -SDR 16 TDI (7EFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5927,7 +5927,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFD); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -5935,13 +5935,13 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FDFF); RUNTEST 10 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (57FF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFDF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5951,15 +5951,15 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FDFF); RUNTEST 10 TCK; -SDR 16 TDI (77EF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFF7); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (5FFF); RUNTEST 10 TCK; @@ -5971,7 +5971,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -5983,17 +5983,17 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FDFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (5FF7); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FEFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7DFF); RUNTEST 10 TCK; SDR 16 TDI (EFFF); RUNTEST 10 TCK; @@ -6003,9 +6003,9 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FBFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BBFD); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -6015,15 +6015,15 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FDFF); RUNTEST 10 TCK; -SDR 16 TDI (6FF7); +SDR 16 TDI (7FF7); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFB); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -6035,7 +6035,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (5FFF); RUNTEST 10 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -6047,17 +6047,17 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FEFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FF7F); RUNTEST 10 TCK; SDR 16 TDI (9FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7DFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -6069,7 +6069,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BDFD); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -6079,15 +6079,15 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FFEF); RUNTEST 10 TCK; SDR 16 TDI (5FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFE); +SDR 16 TDI (BFFD); RUNTEST 10 TCK; -SDR 16 TDI (EFFF); +SDR 16 TDI (DFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -6101,7 +6101,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (9FFF); +SDR 16 TDI (9F6F); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -6111,7 +6111,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (FFFE); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -6149,7 +6149,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (9FBF); +SDR 16 TDI (BFBF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -6165,7 +6165,7 @@ SDR 16 TDI (7FFA); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BEEF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -6173,7 +6173,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (9FFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FDEE); RUNTEST 10 TCK; @@ -6197,7 +6197,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (9F6F); +SDR 16 TDI (BFEF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -6207,7 +6207,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -6221,7 +6221,7 @@ SDR 16 TDI (7BFF); RUNTEST 10 TCK; SDR 16 TDI (FFF9); RUNTEST 10 TCK; -SDR 16 TDI (933F); +SDR 16 TDI (B33F); RUNTEST 10 TCK; SDR 16 TDI (EFFF); RUNTEST 10 TCK; @@ -6229,7 +6229,7 @@ SDR 16 TDI (5FFF); RUNTEST 10 TCK; SDR 16 TDI (999F); RUNTEST 10 TCK; -SDR 16 TDI (BFEF); +SDR 16 TDI (BF6F); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -6245,7 +6245,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (9FFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -6269,7 +6269,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (9FFC); +SDR 16 TDI (BFFC); RUNTEST 10 TCK; SDR 16 TDI (F9E7); RUNTEST 10 TCK; @@ -6293,7 +6293,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFF3); RUNTEST 10 TCK; -SDR 16 TDI (9CFF); +SDR 16 TDI (BCFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -6317,7 +6317,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (9FFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -7817,8 +7817,8 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (67FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (CEEE); @@ -7827,11 +7827,11 @@ SDR 16 TDI (FFFF) TDO (FF7F); SDR 16 TDI (FFFF) TDO (BFEE); SDR 16 TDI (FFFF) TDO (EFF9); SDR 16 TDI (FFFF) TDO (733F); -SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (FFD7); SDR 16 TDI (FFFF) TDO (B77F); SDR 16 TDI (FFFF) TDO (ECCF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (7FBF); SDR 16 TDI (FFFF) TDO (BECC); SDR 16 TDI (FFFF) TDO (CFFE); SDR 16 TDI (FFFF) TDO (7FFF); @@ -7842,46 +7842,46 @@ SDR 16 TDI (FFFF) TDO (7FFD); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFEE); SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FBFA); -SDR 16 TDI (FFFF) TDO (AEFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (A67F); -SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (B77F); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (D9BF); +SDR 16 TDI (FFFF) TDO (BEFF); SDR 16 TDI (FFFF) TDO (FFFE); SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FEEE); -SDR 16 TDI (FFFF) TDO (7FFB); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDD); -SDR 16 TDI (FFFF) TDO (DFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (AEF7); -SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFEE); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFF9); +SDR 16 TDI (FFFF) TDO (B777); +SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EE7F); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFE); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDDD); +SDR 16 TDI (FFFF) TDO (7FFD); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EFF7); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (6E7F); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FFFE); SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -7890,30 +7890,30 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7F7F); SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FBFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (FFFB); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFFB); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (EFFD); +SDR 16 TDI (FFFF) TDO (B7FF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFAF); +SDR 16 TDI (FFFF) TDO (BDFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFE); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -7923,45 +7923,45 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EDFF); +SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (FFFE); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFF7); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (B7FF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFEF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFE); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (FFFB); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EEFF); -SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFFE); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FFFE); SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFD); @@ -7969,9 +7969,13 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (DFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -7981,51 +7985,47 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFB); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFB); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FBFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (DFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FBFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFB); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (CFFF); -SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BF7F); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -8073,7 +8073,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -8089,7 +8089,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFEF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (A55F); @@ -8349,18 +8349,18 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (DFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFE); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -8372,13 +8372,13 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7DBF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -8387,17 +8387,17 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FEFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -8409,13 +8409,9 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFE); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -8425,6 +8421,10 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -8432,15 +8432,15 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BBFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FBFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFDF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -8448,22 +8448,18 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (B7FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (EFFB); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (FFFE); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BDFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -8471,8 +8467,12 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FBFF); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFBF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -8485,8 +8485,8 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -8499,14 +8499,14 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EFF7); +SDR 16 TDI (FFFF) TDO (EDFF); SDR 16 TDI (FFFF) TDO (BFBF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (AFDF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -8518,10 +8518,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFBF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (5FDF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -8531,14 +8531,14 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (FDFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (7F5F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (A55F); SDR 16 TDI (FFFF) TDO (FFFF); @@ -8548,13 +8548,13 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFF5); -SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (79FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -8563,14 +8563,14 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FAFD); +SDR 16 TDI (FFFF) TDO (FFFD); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DFFE); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7BFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (69FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (A55F); SDR 16 TDI (FFFF) TDO (FFFF); @@ -8581,11 +8581,11 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7EFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FDD); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (DFF4); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF4); SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AAAF); @@ -8598,10 +8598,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (77DF); -SDR 16 TDI (FFFF) TDO (F5FF); -SDR 16 TDI (FFFF) TDO (BFD5); -SDR 16 TDI (FFFF) TDO (F57F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (79FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -8611,13 +8611,13 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -8628,12 +8628,12 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFBB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (777F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -8643,13 +8643,13 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7BFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (7FCD); -SDR 16 TDI (FFFF) TDO (DEFF); -SDR 16 TDI (FFFF) TDO (BDB9); -SDR 16 TDI (FFFF) TDO (EBFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BAAF); @@ -8659,29 +8659,29 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FCFD); -SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (777F); -SDR 16 TDI (FFFF) TDO (F3FF); -SDR 16 TDI (FFFF) TDO (BFE7); -SDR 16 TDI (FFFF) TDO (567B); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (67FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (BFFB); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFDD); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (A55F); @@ -8691,14 +8691,14 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFEF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F0F0); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF0); +SDR 16 TDI (FFFF) TDO (BF9F); SDR 16 TDI (FFFF) TDO (7FF8); -SDR 16 TDI (FFFF) TDO (6300); -SDR 16 TDI (FFFF) TDO (020F); -SDR 16 TDI (FFFF) TDO (B000); -SDR 16 TDI (FFFF) TDO (0075); -SDR 16 TDI (FFFF) TDO (69FF); +SDR 16 TDI (FFFF) TDO (68FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (79FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -8707,14 +8707,14 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F3F0); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF0); +SDR 16 TDI (FFFF) TDO (BF9B); SDR 16 TDI (FFFF) TDO (FFF8); -SDR 16 TDI (FFFF) TDO (6300); -SDR 16 TDI (FFFF) TDO (000F); -SDR 16 TDI (FFFF) TDO (B808); -SDR 16 TDI (FFFF) TDO (027E); -SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (68FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (B55F); SDR 16 TDI (FFFF) TDO (FFFF); @@ -8723,13 +8723,13 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F0F0); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF0); +SDR 16 TDI (FFFF) TDO (BF9F); SDR 16 TDI (FFFF) TDO (FFF8); -SDR 16 TDI (FFFF) TDO (63C0); -SDR 16 TDI (FFFF) TDO (010F); -SDR 16 TDI (FFFF) TDO (B800); -SDR 16 TDI (FFFF) TDO (0875); +SDR 16 TDI (FFFF) TDO (60FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF5); SDR 16 TDI (FFFF) TDO (7BFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -8739,14 +8739,14 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F0F0); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF0); +SDR 16 TDI (FFFF) TDO (BF9F); SDR 16 TDI (FFFF) TDO (FFF8); -SDR 16 TDI (FFFF) TDO (6300); -SDR 16 TDI (FFFF) TDO (000F); -SDR 16 TDI (FFFF) TDO (B80E); -SDR 16 TDI (FFFF) TDO (047C); -SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (60FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7BFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (3333); @@ -8756,12 +8756,12 @@ SDR 16 TDI (FFFF) TDO (B333); SDR 16 TDI (FFFF) TDO (31F3); SDR 16 TDI (FFFF) TDO (6666); SDR 16 TDI (FFFF) TDO (7CCE); -SDR 16 TDI (FFFF) TDO (B98F); +SDR 16 TDI (FFFF) TDO (B9CF); SDR 16 TDI (FFFF) TDO (999B); -SDR 16 TDI (FFFF) TDO (77CC); -SDR 16 TDI (FFFF) TDO (E8E7); -SDR 16 TDI (FFFF) TDO (BB88); -SDR 16 TDI (FFFF) TDO (91FF); +SDR 16 TDI (FFFF) TDO (73CC); +SDR 16 TDI (FFFF) TDO (CCC7); +SDR 16 TDI (FFFF) TDO (B999); +SDR 16 TDI (FFFF) TDO (99FF); SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -8771,14 +8771,14 @@ SDR 16 TDI (FFFF) TDO (7777); SDR 16 TDI (FFFF) TDO (B777); SDR 16 TDI (FFFF) TDO (74AB); SDR 16 TDI (FFFF) TDO (7777); -SDR 16 TDI (FFFF) TDO (7CDC); -SDR 16 TDI (FFFF) TDO (BBB5); +SDR 16 TDI (FFFF) TDO (7DDC); +SDR 16 TDI (FFFF) TDO (BB95); SDR 16 TDI (FFFF) TDO (5DD9); -SDR 16 TDI (FFFF) TDO (739C); -SDR 16 TDI (FFFF) TDO (CDCA); -SDR 16 TDI (FFFF) TDO (B1DD); -SDR 16 TDI (FFFF) TDO (9CFC); -SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (73DD); +SDR 16 TDI (FFFF) TDO (DDD2); +SDR 16 TDI (FFFF) TDO (B5DD); +SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -8790,10 +8790,14 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFF7); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (6FF7); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (B77F); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFD); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -8807,25 +8811,37 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFFE); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BEFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFFD); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); @@ -8837,14 +8853,10 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -8855,36 +8867,24 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FDFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFDF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFEF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFE); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (77F7); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BBFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -8893,176 +8893,164 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (EE7F); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (BFBB); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EFFB); +SDR 16 TDI (FFFF) TDO (B7FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (BEEF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFE); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFD); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B7FF); SDR 16 TDI (FFFF) TDO (FDFF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFDF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (ADFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (AFF7); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (EDBF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FBFD); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFBF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B7EE); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (AABF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (EFFE); +SDR 16 TDI (FFFF) TDO (B7BF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (3FFF); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (FFFE); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B77F); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDAB); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BFBF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FBF); -SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFDF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FD7F); SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FDFD); +SDR 16 TDI (FFFF) TDO (7FEF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (B77F); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (A77F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7BF7); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (BF35); +SDR 16 TDI (FFFF) TDO (F77D); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7FB); -SDR 16 TDI (FFFF) TDO (76FF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F7FB); +SDR 16 TDI (FFFF) TDO (BFDE); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7DDD); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (FFFA); +SDR 16 TDI (FFFF) TDO (DFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (ABBF); +SDR 16 TDI (FFFF) TDO (A77F); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDD); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (7DDF); -SDR 16 TDI (FFFF) TDO (FEFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDBF); -SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FAD9); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (79FF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (DEAA); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (75FF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (ABBF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (7BF7); -SDR 16 TDI (FFFF) TDO (7FFC); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (75FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BADF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7F7D); +SDR 16 TDI (FFFF) TDO (5F7F); +SDR 16 TDI (FFFF) TDO (BDF5); +SDR 16 TDI (FFFF) TDO (DF7F); +SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -9071,14 +9059,14 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FEF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFF6); -SDR 16 TDI (FFFF) TDO (FFBD); -SDR 16 TDI (FFFF) TDO (6BEB); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -9087,144 +9075,156 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BEFD); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FDDF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDF5); -SDR 16 TDI (FFFF) TDO (3FB1); -SDR 16 TDI (FFFF) TDO (7DF9); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (BFBB); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (B73D); +SDR 16 TDI (FFFF) TDO (EBFF); +SDR 16 TDI (FFFF) TDO (7BF7); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (BF55); +SDR 16 TDI (FFFF) TDO (F77F); +SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BAAF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF5A); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (6BAF); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (FFFC); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFEE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FD9F); +SDR 16 TDI (FFFF) TDO (BEDF); +SDR 16 TDI (FFFF) TDO (D7DF); +SDR 16 TDI (FFFF) TDO (7CEF); +SDR 16 TDI (FFFF) TDO (CEEF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FBEE); SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B6EF); -SDR 16 TDI (FFFF) TDO (FBFE); -SDR 16 TDI (FFFF) TDO (775E); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FBBF); -SDR 16 TDI (FFFF) TDO (78FF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F3E1); +SDR 16 TDI (FFFF) TDO (BDFE); +SDR 16 TDI (FFFF) TDO (BCEC); +SDR 16 TDI (FFFF) TDO (779C); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (B9EB); +SDR 16 TDI (FFFF) TDO (9EFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (A55F); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FDF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEBC); -SDR 16 TDI (FFFF) TDO (3FEF); -SDR 16 TDI (FFFF) TDO (6089); -SDR 16 TDI (FFFF) TDO (F303); -SDR 16 TDI (FFFF) TDO (A07F); -SDR 16 TDI (FFFF) TDO (7E1A); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FCEB); +SDR 16 TDI (FFFF) TDO (BA9F); +SDR 16 TDI (FFFF) TDO (2AD5); +SDR 16 TDI (FFFF) TDO (6D20); +SDR 16 TDI (FFFF) TDO (0C8F); +SDR 16 TDI (FFFF) TDO (B000); +SDR 16 TDI (FFFF) TDO (0075); SDR 16 TDI (FFFF) TDO (69FF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (FFF5); -SDR 16 TDI (FFFF) TDO (65FF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FBF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BE80); -SDR 16 TDI (FFFF) TDO (3F73); -SDR 16 TDI (FFFF) TDO (6801); -SDR 16 TDI (FFFF) TDO (F303); -SDR 16 TDI (FFFF) TDO (A07F); -SDR 16 TDI (FFFF) TDO (FE1A); -SDR 16 TDI (FFFF) TDO (60FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F0E1); +SDR 16 TDI (FFFF) TDO (BA1B); +SDR 16 TDI (FFFF) TDO (AAD5); +SDR 16 TDI (FFFF) TDO (6020); +SDR 16 TDI (FFFF) TDO (0C0F); +SDR 16 TDI (FFFF) TDO (A800); +SDR 16 TDI (FFFF) TDO (017F); SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (B55F); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (A3FD); -SDR 16 TDI (FFFF) TDO (0FFF); -SDR 16 TDI (FFFF) TDO (61F0); -SDR 16 TDI (FFFF) TDO (7303); -SDR 16 TDI (FFFF) TDO (A01F); -SDR 16 TDI (FFFF) TDO (FE1A); -SDR 16 TDI (FFFF) TDO (60FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF5); -SDR 16 TDI (FFFF) TDO (67FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FF92); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (D9FF); +SDR 16 TDI (FFFF) TDO (7F00); +SDR 16 TDI (FFFF) TDO (4C8F); +SDR 16 TDI (FFFF) TDO (B80E); +SDR 16 TDI (FFFF) TDO (6075); +SDR 16 TDI (FFFF) TDO (6BFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (A038); -SDR 16 TDI (FFFF) TDO (0FF1); -SDR 16 TDI (FFFF) TDO (60E0); -SDR 16 TDI (FFFF) TDO (7303); -SDR 16 TDI (FFFF) TDO (A01F); -SDR 16 TDI (FFFF) TDO (FE1A); -SDR 16 TDI (FFFF) TDO (65FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F692); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (C1FF); +SDR 16 TDI (FFFF) TDO (720C); +SDR 16 TDI (FFFF) TDO (0C0F); +SDR 16 TDI (FFFF) TDO (BE06); +SDR 16 TDI (FFFF) TDO (017F); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (3333); SDR 16 TDI (FFFF) TDO (63E6); SDR 16 TDI (FFFF) TDO (6667); -SDR 16 TDI (FFFF) TDO (BB31); -SDR 16 TDI (FFFF) TDO (39F3); -SDR 16 TDI (FFFF) TDO (6566); -SDR 16 TDI (FFFF) TDO (FEEE); -SDR 16 TDI (FFFF) TDO (B88F); -SDR 16 TDI (FFFF) TDO (9B9F); -SDR 16 TDI (FFFF) TDO (66CC); -SDR 16 TDI (FFFF) TDO (CCC7); -SDR 16 TDI (FFFF) TDO (B999); -SDR 16 TDI (FFFF) TDO (99F7); +SDR 16 TDI (FFFF) TDO (B333); +SDR 16 TDI (FFFF) TDO (31F3); +SDR 16 TDI (FFFF) TDO (6666); +SDR 16 TDI (FFFF) TDO (7CCD); +SDR 16 TDI (FFFF) TDO (B98F); +SDR 16 TDI (FFFF) TDO (99BB); +SDR 16 TDI (FFFF) TDO (7328); +SDR 16 TDI (FFFF) TDO (2EC7); +SDR 16 TDI (FFFF) TDO (B9B1); +SDR 16 TDI (FFFF) TDO (88FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (7777); SDR 16 TDI (FFFF) TDO (6957); SDR 16 TDI (FFFF) TDO (7777); -SDR 16 TDI (FFFF) TDO (B332); -SDR 16 TDI (FFFF) TDO (32A3); -SDR 16 TDI (FFFF) TDO (6676); -SDR 16 TDI (FFFF) TDO (7CCC); -SDR 16 TDI (FFFF) TDO (B995); -SDR 16 TDI (FFFF) TDO (5998); -SDR 16 TDI (FFFF) TDO (73DD); -SDR 16 TDI (FFFF) TDO (DDD2); -SDR 16 TDI (FFFF) TDO (B5DD); +SDR 16 TDI (FFFF) TDO (B777); +SDR 16 TDI (FFFF) TDO (74AB); +SDR 16 TDI (FFFF) TDO (7777); +SDR 16 TDI (FFFF) TDO (7CDC); +SDR 16 TDI (FFFF) TDO (B985); +SDR 16 TDI (FFFF) TDO (1D99); +SDR 16 TDI (FFFF) TDO (73CD); +SDR 16 TDI (FFFF) TDO (CC9A); +SDR 16 TDI (FFFF) TDO (B48C); SDR 16 TDI (FFFF) TDO (DDFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -9232,30 +9232,30 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FDF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (6DFF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (AEDF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (6EFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (BEEF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (B7F7); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AF5F); -SDR 16 TDI (FFFF) TDO (FBFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7BDF); +SDR 16 TDI (FFFF) TDO (FFBF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -9264,14 +9264,14 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFA); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (6FBF); -SDR 16 TDI (FFFF) TDO (FF7F); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -9280,14 +9280,14 @@ SDR 16 TDI (FFFF) TDO (AFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEDF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (BFDF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FF7F); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7DFF); @@ -9297,32 +9297,28 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FEDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFEF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (FDFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (7DF7); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -9336,20 +9332,12 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (DDFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFD); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBF5); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FDFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -9357,31 +9345,43 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EFFD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (77FE); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (7EF7); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (FDFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (BFDD); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BFD); +SDR 16 TDI (FFFF) TDO (EEFB); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FBBF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -9396,9 +9396,9 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (AFBF); +SDR 16 TDI (FFFF) TDO (FF7B); +SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFD); @@ -9408,44 +9408,44 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FF4F); +SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFD); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (BFEE); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BFB); +SDR 16 TDI (FFFF) TDO (FDFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FDFD); +SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (A55F); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (A55F); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FEF7); +SDR 16 TDI (FFFF) TDO (76FF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FFFB); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -9457,63 +9457,59 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BBF7); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FDFD); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (F3FE); +SDR 16 TDI (FFFF) TDO (7BFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (75FF); +SDR 16 TDI (FFFF) TDO (79FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (A55F); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B77F); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (E7FF); +SDR 16 TDI (FFFF) TDO (7FF3); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (BEDF); +SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFF5); -SDR 16 TDI (FFFF) TDO (6DFF); +SDR 16 TDI (FFFF) TDO (65FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AAAF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AABF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FEB); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (6FEF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EFFE); +SDR 16 TDI (FFFF) TDO (7BFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFEE); -SDR 16 TDI (FFFF) TDO (79FF); +SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6F5F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (FBE7); +SDR 16 TDI (FFFF) TDO (BEFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -9521,29 +9517,33 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (EFBB); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FD7B); +SDR 16 TDI (FFFF) TDO (AF5F); +SDR 16 TDI (FFFF) TDO (FF5F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B33F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FA7); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (AFB7); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (FBAD); +SDR 16 TDI (FFFF) TDO (AA5F); +SDR 16 TDI (FFFF) TDO (AFBD); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -9553,28 +9553,28 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7F7); -SDR 16 TDI (FFFF) TDO (6EFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (D9FF); +SDR 16 TDI (FFFF) TDO (67E9); +SDR 16 TDI (FFFF) TDO (F5F3); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FF7E); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (AEFB); -SDR 16 TDI (FFFF) TDO (FFF9); -SDR 16 TDI (FFFF) TDO (7FF9); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (F7FB); +SDR 16 TDI (FFFF) TDO (7EF7); +SDR 16 TDI (FFFF) TDO (FE7F); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (D4DF); +SDR 16 TDI (FFFF) TDO (63FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -9584,13 +9584,13 @@ SDR 16 TDI (FFFF) TDO (A55F); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FDF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B530); -SDR 16 TDI (FFFF) TDO (03E3); -SDR 16 TDI (FFFF) TDO (67AF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFC); +SDR 16 TDI (FFFF) TDO (FFE0); +SDR 16 TDI (FFFF) TDO (67FF); +SDR 16 TDI (FFFF) TDO (F208); +SDR 16 TDI (FFFF) TDO (B71F); +SDR 16 TDI (FFFF) TDO (3861); +SDR 16 TDI (FFFF) TDO (70FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (B7FF); SDR 16 TDI (FFFF) TDO (FFF5); @@ -9600,96 +9600,96 @@ SDR 16 TDI (FFFF) TDO (AFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FBF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B130); -SDR 16 TDI (FFFF) TDO (03D3); -SDR 16 TDI (FFFF) TDO (67BF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFC); +SDR 16 TDI (FFFF) TDO (F770); +SDR 16 TDI (FFFF) TDO (67F7); +SDR 16 TDI (FFFF) TDO (F280); +SDR 16 TDI (FFFF) TDO (A71E); +SDR 16 TDI (FFFF) TDO (9E61); +SDR 16 TDI (FFFF) TDO (60FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (75FF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (B55F); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BC30); -SDR 16 TDI (FFFF) TDO (03F1); -SDR 16 TDI (FFFF) TDO (67BE); -SDR 16 TDI (FFFF) TDO (1FFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF4); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (AFFC); +SDR 16 TDI (FFFF) TDO (F9FC); +SDR 16 TDI (FFFF) TDO (7E6F); +SDR 16 TDI (FFFF) TDO (F500); +SDR 16 TDI (FFFF) TDO (B71F); +SDR 16 TDI (FFFF) TDO (9C01); +SDR 16 TDI (FFFF) TDO (72FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BC30); -SDR 16 TDI (FFFF) TDO (03F1); -SDR 16 TDI (FFFF) TDO (67BE); -SDR 16 TDI (FFFF) TDO (1FFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (AFFC); +SDR 16 TDI (FFFF) TDO (FBFC); +SDR 16 TDI (FFFF) TDO (7E6F); +SDR 16 TDI (FFFF) TDO (F180); +SDR 16 TDI (FFFF) TDO (A3DF); +SDR 16 TDI (FFFF) TDO (8600); +SDR 16 TDI (FFFF) TDO (62FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFB); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (3333); SDR 16 TDI (FFFF) TDO (63E6); SDR 16 TDI (FFFF) TDO (6667); -SDR 16 TDI (FFFF) TDO (A3BB); -SDR 16 TDI (FFFF) TDO (B9F3); -SDR 16 TDI (FFFF) TDO (6EE2); -SDR 16 TDI (FFFF) TDO (FCCC); -SDR 16 TDI (FFFF) TDO (B98F); -SDR 16 TDI (FFFF) TDO (9999); -SDR 16 TDI (FFFF) TDO (73CC); +SDR 16 TDI (FFFF) TDO (B333); +SDR 16 TDI (FFFF) TDO (3BF1); +SDR 16 TDI (FFFF) TDO (666E); +SDR 16 TDI (FFFF) TDO (7CCD); +SDR 16 TDI (FFFF) TDO (BF8F); +SDR 16 TDI (FFFF) TDO (BCB7); +SDR 16 TDI (FFFF) TDO (77CC); SDR 16 TDI (FFFF) TDO (CCC7); SDR 16 TDI (FFFF) TDO (B999); -SDR 16 TDI (FFFF) TDO (99F5); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (99FF); +SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (7777); SDR 16 TDI (FFFF) TDO (6957); SDR 16 TDI (FFFF) TDO (7777); -SDR 16 TDI (FFFF) TDO (B733); -SDR 16 TDI (FFFF) TDO (32A9); -SDR 16 TDI (FFFF) TDO (6676); +SDR 16 TDI (FFFF) TDO (B377); +SDR 16 TDI (FFFF) TDO (30A9); +SDR 16 TDI (FFFF) TDO (7667); SDR 16 TDI (FFFF) TDO (7DDD); -SDR 16 TDI (FFFF) TDO (BBA5); -SDR 16 TDI (FFFF) TDO (5DDD); -SDR 16 TDI (FFFF) TDO (7BDD); +SDR 16 TDI (FFFF) TDO (B9B5); +SDR 16 TDI (FFFF) TDO (1C89); +SDR 16 TDI (FFFF) TDO (73DD); SDR 16 TDI (FFFF) TDO (DDD2); SDR 16 TDI (FFFF) TDO (B5DD); -SDR 16 TDI (FFFF) TDO (DDFB); +SDR 16 TDI (FFFF) TDO (DDFE); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FDF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (DFEF); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (7BF7); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (FFFD); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -9697,11 +9697,11 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7FAF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (DFFD); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -9712,13 +9712,13 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (75F7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEEF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (EAB7); +SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -9729,11 +9729,11 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7DF7); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFF5); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -9746,10 +9746,10 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (7F2E); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (DFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -9760,396 +9760,396 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDF7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FD7F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BEEF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFFE); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFB); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (FBFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7EFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (B9EF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (BFEB); +SDR 16 TDI (FFFF) TDO (76FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FBF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFFE); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FDF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FCFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (BEF7); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EFEF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (EDFD); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (FDFD); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFC); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFD5); -SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (EFF7); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (FEF7); +SDR 16 TDI (FFFF) TDO (7EFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (6FBF); +SDR 16 TDI (FFFF) TDO (FDF5); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FD3F); +SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFF3); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DFFD); -SDR 16 TDI (FFFF) TDO (BDFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BF7); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFEB); +SDR 16 TDI (FFFF) TDO (FFF3); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (A55F); -SDR 16 TDI (FFFF) TDO (FBBE); -SDR 16 TDI (FFFF) TDO (7FFA); -SDR 16 TDI (FFFF) TDO (EEFB); -SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF4); -SDR 16 TDI (FFFF) TDO (79FF); +SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (6EFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7EBF); SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFAF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (E7FF); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (F54F); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FBEB); -SDR 16 TDI (FFFF) TDO (BD5F); -SDR 16 TDI (FFFF) TDO (AABB); -SDR 16 TDI (FFFF) TDO (75FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (75FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (A55F); -SDR 16 TDI (FFFF) TDO (FFF5); -SDR 16 TDI (FFFF) TDO (7FF3); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F9FD); -SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FEFE); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (DFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFF5); -SDR 16 TDI (FFFF) TDO (65FF); +SDR 16 TDI (FFFF) TDO (6DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AAAF); -SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (ABFE); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFE); -SDR 16 TDI (FFFF) TDO (BBAB); -SDR 16 TDI (FFFF) TDO (77EE); -SDR 16 TDI (FFFF) TDO (FEFB); -SDR 16 TDI (FFFF) TDO (9FE7); -SDR 16 TDI (FFFF) TDO (E7FF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (B77F); -SDR 16 TDI (FFFF) TDO (EEEF); -SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (79FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFE); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (9FFF); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (77FB); +SDR 16 TDI (FFFF) TDO (FBBB); +SDR 16 TDI (FFFF) TDO (B7BF); +SDR 16 TDI (FFFF) TDO (FEF7); +SDR 16 TDI (FFFF) TDO (7AFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FDEF); -SDR 16 TDI (FFFF) TDO (BDDF); -SDR 16 TDI (FFFF) TDO (BBEF); -SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (F7FB); +SDR 16 TDI (FFFF) TDO (7FEB); +SDR 16 TDI (FFFF) TDO (FFBE); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (599F); -SDR 16 TDI (FFFF) TDO (67E2); -SDR 16 TDI (FFFF) TDO (FEBB); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (D7FF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F89D); -SDR 16 TDI (FFFF) TDO (973F); -SDR 16 TDI (FFFF) TDO (CC8F); -SDR 16 TDI (FFFF) TDO (57FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (6F53); +SDR 16 TDI (FFFF) TDO (FA7C); +SDR 16 TDI (FFFF) TDO (93BF); +SDR 16 TDI (FFFF) TDO (BAD3); +SDR 16 TDI (FFFF) TDO (79FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9AAF); -SDR 16 TDI (FFFF) TDO (B771); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (7F75); -SDR 16 TDI (FFFF) TDO (9FEF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (7FFB); SDR 16 TDI (FFFF) TDO (BDDF); -SDR 16 TDI (FFFF) TDO (BBF7); -SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FDEF); +SDR 16 TDI (FFFF) TDO (76FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFE); -SDR 16 TDI (FFFF) TDO (EEEE); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (B7CF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (FBFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F7F3); -SDR 16 TDI (FFFF) TDO (9AFF); -SDR 16 TDI (FFFF) TDO (F77D); -SDR 16 TDI (FFFF) TDO (7CFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (F97F); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (F59F); +SDR 16 TDI (FFFF) TDO (9E7F); +SDR 16 TDI (FFFF) TDO (C77E); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (A55F); -SDR 16 TDI (FFFF) TDO (E3FC); -SDR 16 TDI (FFFF) TDO (6DC0); -SDR 16 TDI (FFFF) TDO (20E1); -SDR 16 TDI (FFFF) TDO (BFDB); -SDR 16 TDI (FFFF) TDO (DEEF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FF0F); -SDR 16 TDI (FFFF) TDO (9917); -SDR 16 TDI (FFFF) TDO (517F); -SDR 16 TDI (FFFF) TDO (52FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BC33); +SDR 16 TDI (FFFF) TDO (0FEC); +SDR 16 TDI (FFFF) TDO (7992); +SDR 16 TDI (FFFF) TDO (130E); +SDR 16 TDI (FFFF) TDO (9A1F); +SDR 16 TDI (FFFF) TDO (0AAC); +SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (FFF5); -SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFF4); +SDR 16 TDI (FFFF) TDO (75FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (E3FC); -SDR 16 TDI (FFFF) TDO (67A8); -SDR 16 TDI (FFFF) TDO (2083); -SDR 16 TDI (FFFF) TDO (9FDB); -SDR 16 TDI (FFFF) TDO (DFDF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FF0F); -SDR 16 TDI (FFFF) TDO (B81E); -SDR 16 TDI (FFFF) TDO (A877); -SDR 16 TDI (FFFF) TDO (73FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9C33); +SDR 16 TDI (FFFF) TDO (0FFC); +SDR 16 TDI (FFFF) TDO (7800); +SDR 16 TDI (FFFF) TDO (1BFE); +SDR 16 TDI (FFFF) TDO (BB1E); +SDR 16 TDI (FFFF) TDO (886C); +SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (8FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (69FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (955F); -SDR 16 TDI (FFFF) TDO (0033); -SDR 16 TDI (FFFF) TDO (57F9); -SDR 16 TDI (FFFF) TDO (E1FF); -SDR 16 TDI (FFFF) TDO (BFD7); -SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FF20); -SDR 16 TDI (FFFF) TDO (8ABF); -SDR 16 TDI (FFFF) TDO (9078); -SDR 16 TDI (FFFF) TDO (66FF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (FFF4); -SDR 16 TDI (FFFF) TDO (57FF); +SDR 16 TDI (FFFF) TDO (BC33); +SDR 16 TDI (FFFF) TDO (0DFC); +SDR 16 TDI (FFFF) TDO (7800); +SDR 16 TDI (FFFF) TDO (170C); +SDR 16 TDI (FFFF) TDO (9F9F); +SDR 16 TDI (FFFF) TDO (8EB2); +SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (0893); -SDR 16 TDI (FFFF) TDO (7FF1); -SDR 16 TDI (FFFF) TDO (E003); -SDR 16 TDI (FFFF) TDO (9FD7); -SDR 16 TDI (FFFF) TDO (C3FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FD21); -SDR 16 TDI (FFFF) TDO (8BFF); -SDR 16 TDI (FFFF) TDO (C878); -SDR 16 TDI (FFFF) TDO (4FFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9C33); +SDR 16 TDI (FFFF) TDO (0FFC); +SDR 16 TDI (FFFF) TDO (798A); +SDR 16 TDI (FFFF) TDO (1F1C); SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (3323); -SDR 16 TDI (FFFF) TDO (73EE); -SDR 16 TDI (FFFF) TDO (26E7); -SDR 16 TDI (FFFF) TDO (933B); -SDR 16 TDI (FFFF) TDO (39F3); -SDR 16 TDI (FFFF) TDO (4666); -SDR 16 TDI (FFFF) TDO (7CC9); -SDR 16 TDI (FFFF) TDO (BDCF); -SDR 16 TDI (FFFF) TDO (B99B); -SDR 16 TDI (FFFF) TDO (77CC); +SDR 16 TDI (FFFF) TDO (FE32); +SDR 16 TDI (FFFF) TDO (6DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFED); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (3333); +SDR 16 TDI (FFFF) TDO (63E6); +SDR 16 TDI (FFFF) TDO (6667); +SDR 16 TDI (FFFF) TDO (93BB); +SDR 16 TDI (FFFF) TDO (33F3); +SDR 16 TDI (FFFF) TDO (6744); +SDR 16 TDI (FFFF) TDO (FCFE); +SDR 16 TDI (FFFF) TDO (B1CF); +SDR 16 TDI (FFFF) TDO (BBF1); +SDR 16 TDI (FFFF) TDO (73CC); SDR 16 TDI (FFFF) TDO (CCC7); SDR 16 TDI (FFFF) TDO (9999); SDR 16 TDI (FFFF) TDO (99FF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFE); +SDR 16 TDI (FFFF) TDO (9FFF); SDR 16 TDI (FFFF) TDO (7777); -SDR 16 TDI (FFFF) TDO (4547); -SDR 16 TDI (FFFF) TDO (6667); -SDR 16 TDI (FFFF) TDO (B773); -SDR 16 TDI (FFFF) TDO (70AB); +SDR 16 TDI (FFFF) TDO (6957); SDR 16 TDI (FFFF) TDO (7777); +SDR 16 TDI (FFFF) TDO (B733); +SDR 16 TDI (FFFF) TDO (32A3); +SDR 16 TDI (FFFF) TDO (6666); +SDR 16 TDI (FFFF) TDO (7DCC); +SDR 16 TDI (FFFF) TDO (9B95); +SDR 16 TDI (FFFF) TDO (199D); SDR 16 TDI (FFFF) TDO (79DD); -SDR 16 TDI (FFFF) TDO (9985); -SDR 16 TDI (FFFF) TDO (1DD9); -SDR 16 TDI (FFFF) TDO (73DD); SDR 16 TDI (FFFF) TDO (DDD2); SDR 16 TDI (FFFF) TDO (95DD); -SDR 16 TDI (FFFF) TDO (DDFF); -SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (DDFE); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBBF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9DFF); SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7EDD); +SDR 16 TDI (FFFF) TDO (DFFF); SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (7BBF); -SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (7FB7); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFED); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFE); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (5FFD); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AF7F); +SDR 16 TDI (FFFF) TDO (FDFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -10160,28 +10160,28 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7F7F); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (CED5); +SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BF7F); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFD); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FBF5); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -10191,13 +10191,13 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (5F7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (DFDF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -10211,12 +10211,12 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFD); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BEFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -10226,29 +10226,29 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FBF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FEFF); SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FBFF); SDR 16 TDI (FFFF) TDO (BFEF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (EFFE); -SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFB); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF3F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -10258,22 +10258,22 @@ SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (B7FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BEEF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -10282,18 +10282,18 @@ SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -10305,10 +10305,10 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFBF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -10316,7 +10316,7 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -10324,45 +10324,45 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (B7FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (A55F); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7BFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (BFEB); +SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (57FF); +SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (A55F); SDR 16 TDI (FFFF) TDO (FFFF); @@ -10373,20 +10373,20 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFBF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (79FF); +SDR 16 TDI (FFFF) TDO (75FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AAAF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (EBFF); +SDR 16 TDI (FFFF) TDO (5FEE); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -10394,19 +10394,19 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (75FF); +SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFEF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFEA); +SDR 16 TDI (FFFF) TDO (B57F); +SDR 16 TDI (FFFF) TDO (AFAA); +SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -10419,10 +10419,10 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (B7DF); +SDR 16 TDI (FFFF) TDO (EFFE); +SDR 16 TDI (FFFF) TDO (5BFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -10433,123 +10433,123 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (DFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FDF7); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B2EF); +SDR 16 TDI (FFFF) TDO (BAEF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFCF); +SDR 16 TDI (FFFF) TDO (E7FF); +SDR 16 TDI (FFFF) TDO (7FEE); +SDR 16 TDI (FFFF) TDO (5FF3); +SDR 16 TDI (FFFF) TDO (A75F); +SDR 16 TDI (FFFF) TDO (E7EE); +SDR 16 TDI (FFFF) TDO (6CFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFA); +SDR 16 TDI (FFFF) TDO (FFFB); SDR 16 TDI (FFFF) TDO (57FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF3); +SDR 16 TDI (FFFF) TDO (FBFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFEE); +SDR 16 TDI (FFFF) TDO (B9BF); +SDR 16 TDI (FFFF) TDO (9F99); +SDR 16 TDI (FFFF) TDO (53FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BD5F); +SDR 16 TDI (FFFF) TDO (B95F); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FDF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFF3); SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (5FF9); +SDR 16 TDI (FFFF) TDO (FF36); +SDR 16 TDI (FFFF) TDO (A717); +SDR 16 TDI (FFFF) TDO (0000); +SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (FFF5); -SDR 16 TDI (FFFF) TDO (69FF); +SDR 16 TDI (FFFF) TDO (FFF4); +SDR 16 TDI (FFFF) TDO (79FF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (B7FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FBF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFC3); +SDR 16 TDI (FFFF) TDO (EBDF); +SDR 16 TDI (FFFF) TDO (5FF8); +SDR 16 TDI (FFFF) TDO (7F3A); +SDR 16 TDI (FFFF) TDO (B91E); +SDR 16 TDI (FFFF) TDO (8008); +SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5DFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B95F); +SDR 16 TDI (FFFF) TDO (BD5F); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (BFF3); +SDR 16 TDI (FFFF) TDO (CFFF); +SDR 16 TDI (FFFF) TDO (7FF8); +SDR 16 TDI (FFFF) TDO (7F35); +SDR 16 TDI (FFFF) TDO (A77F); +SDR 16 TDI (FFFF) TDO (806F); +SDR 16 TDI (FFFF) TDO (4FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFF5); -SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (6BFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BDFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFE7); +SDR 16 TDI (FFFF) TDO (CFFF); +SDR 16 TDI (FFFF) TDO (5FF8); +SDR 16 TDI (FFFF) TDO (7F39); +SDR 16 TDI (FFFF) TDO (B17F); +SDR 16 TDI (FFFF) TDO (A07E); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BEFF); SDR 16 TDI (FFFF) TDO (3333); SDR 16 TDI (FFFF) TDO (43E6); SDR 16 TDI (FFFF) TDO (6667); -SDR 16 TDI (FFFF) TDO (B333); -SDR 16 TDI (FFFF) TDO (31F3); -SDR 16 TDI (FFFF) TDO (6666); -SDR 16 TDI (FFFF) TDO (7CCC); -SDR 16 TDI (FFFF) TDO (B98F); -SDR 16 TDI (FFFF) TDO (9999); +SDR 16 TDI (FFFF) TDO (B33B); +SDR 16 TDI (FFFF) TDO (39F3); +SDR 16 TDI (FFFF) TDO (666E); +SDR 16 TDI (FFFF) TDO (FCEE); +SDR 16 TDI (FFFF) TDO (BD8F); +SDR 16 TDI (FFFF) TDO (99BB); SDR 16 TDI (FFFF) TDO (73CC); SDR 16 TDI (FFFF) TDO (CCC7); SDR 16 TDI (FFFF) TDO (9999); @@ -10560,19 +10560,19 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (7777); SDR 16 TDI (FFFF) TDO (6957); SDR 16 TDI (FFFF) TDO (7777); -SDR 16 TDI (FFFF) TDO (B777); -SDR 16 TDI (FFFF) TDO (74AB); -SDR 16 TDI (FFFF) TDO (7777); -SDR 16 TDI (FFFF) TDO (7DDD); -SDR 16 TDI (FFFF) TDO (9BA5); -SDR 16 TDI (FFFF) TDO (5DDD); -SDR 16 TDI (FFFF) TDO (5BDD); +SDR 16 TDI (FFFF) TDO (B773); +SDR 16 TDI (FFFF) TDO (70AB); +SDR 16 TDI (FFFF) TDO (7766); +SDR 16 TDI (FFFF) TDO (7DCC); +SDR 16 TDI (FFFF) TDO (99B5); +SDR 16 TDI (FFFF) TDO (4999); +SDR 16 TDI (FFFF) TDO (59DD); SDR 16 TDI (FFFF) TDO (DDD2); SDR 16 TDI (FFFF) TDO (B5DD); -SDR 16 TDI (FFFF) TDO (DDFD); +SDR 16 TDI (FFFF) TDO (DDFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BDFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FDF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -10580,8 +10580,8 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFEF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (7F7F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (B7FF); @@ -10595,8 +10595,8 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (BF7F); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -10609,14 +10609,14 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFD); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (FE5F); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (4FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -10625,10 +10625,10 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (5FFD); +SDR 16 TDI (FFFF) TDO (FFDB); +SDR 16 TDI (FFFF) TDO (BEFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -10642,10 +10642,10 @@ SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FF7F); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); @@ -10657,27 +10657,27 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (F4FF); +SDR 16 TDI (FFFF) TDO (57FF); +SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5EFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (BFEB); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (5FFE); SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFBF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -10688,13 +10688,13 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFDF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (F77F); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -10705,99 +10705,99 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (57FF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (77EF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FF7); +SDR 16 TDI (FFFF) TDO (FEFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFD); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6FF7); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FF7); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FF7F); SDR 16 TDI (FFFF) TDO (9FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BDFD); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (FFEF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (DFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (9F6F); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (FFFE); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -10816,7 +10816,7 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FEFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FBF); +SDR 16 TDI (FFFF) TDO (BFBF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FF8F); @@ -10824,11 +10824,11 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFA); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEEF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FDEE); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -10840,23 +10840,23 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9F6F); +SDR 16 TDI (FFFF) TDO (BFEF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (3FFF); SDR 16 TDI (FFFF) TDO (BFE7); SDR 16 TDI (FFFF) TDO (FFFD); SDR 16 TDI (FFFF) TDO (7BFF); SDR 16 TDI (FFFF) TDO (FFF9); -SDR 16 TDI (FFFF) TDO (933F); +SDR 16 TDI (FFFF) TDO (B33F); SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (999F); -SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (BF6F); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -10864,7 +10864,7 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -10876,7 +10876,7 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFC); +SDR 16 TDI (FFFF) TDO (BFFC); SDR 16 TDI (FFFF) TDO (F9E7); SDR 16 TDI (FFFF) TDO (59FF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -10888,7 +10888,7 @@ SDR 16 TDI (FFFF) TDO (BFE7); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFF3); -SDR 16 TDI (FFFF) TDO (9CFF); +SDR 16 TDI (FFFF) TDO (BCFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -10900,7 +10900,7 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); diff --git a/rtl/bitstream.svf b/rtl/bitstream.svf index 9bbbd6c..595e6b0 100644 --- a/rtl/bitstream.svf +++ b/rtl/bitstream.svf @@ -15,11 +15,11 @@ ! !Quartus Prime SVF converter 20.1 ! -!Device #1: EPM570 - output_files/pistorm.pof Tue Apr 13 11:32:05 2021 +!Device #1: EPM570 - output_files/pistorm.pof Fri Jun 18 11:37:32 2021 ! -!NOTE "USERCODE" "0033700A"; +!NOTE "USERCODE" "00336118"; ! -!NOTE "CHECKSUM" "00337048"; +!NOTE "CHECKSUM" "00336156"; ! ! ! @@ -171,11 +171,11 @@ SDR 16 TDI (EFFD); RUNTEST 10 TCK; SDR 16 TDI (7BBB); RUNTEST 10 TCK; -SDR 16 TDI (FFF3); +SDR 16 TDI (FFF2); RUNTEST 10 TCK; SDR 16 TDI (BEFF); RUNTEST 10 TCK; -SDR 16 TDI (DDED); +SDR 16 TDI (DDFD); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -203,11 +203,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (F7FF); RUNTEST 10 TCK; SDR 16 TDI (B9FF); RUNTEST 10 TCK; -SDR 16 TDI (EE7F); +SDR 16 TDI (EE6F); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -237,9 +237,9 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFE7); +SDR 16 TDI (AFE7); RUNTEST 10 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -301,9 +301,9 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (A9FF); +SDR 16 TDI (B9FF); RUNTEST 10 TCK; -SDR 16 TDI (EE7F); +SDR 16 TDI (EE5F); RUNTEST 10 TCK; SDR 16 TDI (7EFF); RUNTEST 10 TCK; @@ -403,7 +403,7 @@ SDR 16 TDI (FFDF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFEF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -435,7 +435,7 @@ SDR 16 TDI (FBFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFEF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BBFF); RUNTEST 10 TCK; @@ -467,9 +467,9 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFEF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -499,9 +499,9 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7EFF); RUNTEST 10 TCK; -SDR 16 TDI (EFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFEF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -525,7 +525,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BBBF); +SDR 16 TDI (BFBF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -533,7 +533,7 @@ SDR 16 TDI (7EFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFF7); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -563,9 +563,9 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (EFFF); +SDR 16 TDI (DFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFEF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -1235,9 +1235,9 @@ SDR 16 TDI (FDFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFBF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BEFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -1267,7 +1267,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (F7FF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFF7); RUNTEST 10 TCK; @@ -1301,7 +1301,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BEEF); +SDR 16 TDI (BFEF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -1331,7 +1331,7 @@ SDR 16 TDI (FEFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FBBF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -1343,13 +1343,13 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F7FF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (AFBF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -1387,7 +1387,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -1413,7 +1413,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BF7F); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -1439,11 +1439,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F7FF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -1451,7 +1451,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -3139,7 +3139,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -3159,7 +3159,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFB); RUNTEST 10 TCK; -SDR 16 TDI (FF7B); +SDR 16 TDI (FFEB); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -3167,9 +3167,9 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFB); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFE); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -3179,7 +3179,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -3191,7 +3191,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -3223,19 +3223,19 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FF7F); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (6FFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFB); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (77FF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -3243,7 +3243,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -3255,7 +3255,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFD); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -3287,7 +3287,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFB); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -3383,7 +3383,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFD); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -3641,7 +3641,7 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (67FF); +SDR 16 TDI (77FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -3705,7 +3705,7 @@ SDR 16 TDI (B7FF); RUNTEST 10 TCK; SDR 16 TDI (FFF5); RUNTEST 10 TCK; -SDR 16 TDI (79FF); +SDR 16 TDI (69FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -3735,7 +3735,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (AFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7DFF); RUNTEST 10 TCK; @@ -3767,7 +3767,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFF5); +SDR 16 TDI (FFF4); RUNTEST 10 TCK; SDR 16 TDI (7BFF); RUNTEST 10 TCK; @@ -3799,7 +3799,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFEF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -3895,7 +3895,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (B7FF); RUNTEST 10 TCK; -SDR 16 TDI (FFF7); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -3955,9 +3955,9 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BFBF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFEF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -4037,9 +4037,9 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFBE); +SDR 16 TDI (BFBF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F7FF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -4049,7 +4049,7 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFD); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -4075,13 +4075,13 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (F7FF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BBFF); +SDR 16 TDI (BEFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7EFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -4101,15 +4101,15 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFD); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (EFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (B7FF); +SDR 16 TDI (BDFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -4135,7 +4135,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFBF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FBFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -4145,7 +4145,7 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFE); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -4211,9 +4211,9 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7EFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFEF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -4243,9 +4243,9 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFF7); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -4373,9 +4373,9 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFDF); RUNTEST 10 TCK; -SDR 16 TDI (FFF5); +SDR 16 TDI (FDF5); RUNTEST 10 TCK; SDR 16 TDI (69FF); RUNTEST 10 TCK; @@ -4403,11 +4403,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DFFE); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FF7E); RUNTEST 10 TCK; SDR 16 TDI (75FF); RUNTEST 10 TCK; @@ -4435,11 +4435,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FDFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -4499,11 +4499,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFEF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FBFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -4531,7 +4531,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFE); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -4563,11 +4563,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFDF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FCFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -4595,11 +4595,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (CFCF); RUNTEST 10 TCK; -SDR 16 TDI (B7FF); +SDR 16 TDI (B787); RUNTEST 10 TCK; -SDR 16 TDI (FFF5); +SDR 16 TDI (FC75); RUNTEST 10 TCK; SDR 16 TDI (69FF); RUNTEST 10 TCK; @@ -4627,11 +4627,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (CFCD); RUNTEST 10 TCK; -SDR 16 TDI (AFFF); +SDR 16 TDI (BF87); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FC7F); RUNTEST 10 TCK; SDR 16 TDI (7DFF); RUNTEST 10 TCK; @@ -4659,11 +4659,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (CFCF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BF87); RUNTEST 10 TCK; -SDR 16 TDI (FFF5); +SDR 16 TDI (F875); RUNTEST 10 TCK; SDR 16 TDI (6BFF); RUNTEST 10 TCK; @@ -4691,11 +4691,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (CFCF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BF87); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F87F); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -4723,9 +4723,9 @@ SDR 16 TDI (3333); RUNTEST 10 TCK; SDR 16 TDI (67CC); RUNTEST 10 TCK; -SDR 16 TDI (CCC7); +SDR 16 TDI (ECE7); RUNTEST 10 TCK; -SDR 16 TDI (B999); +SDR 16 TDI (B9B9); RUNTEST 10 TCK; SDR 16 TDI (99FF); RUNTEST 10 TCK; @@ -4755,11 +4755,11 @@ SDR 16 TDI (BBBB); RUNTEST 10 TCK; SDR 16 TDI (77DD); RUNTEST 10 TCK; -SDR 16 TDI (DDD2); +SDR 16 TDI (CDCA); RUNTEST 10 TCK; -SDR 16 TDI (B5DD); +SDR 16 TDI (B59D); RUNTEST 10 TCK; -SDR 16 TDI (DDFF); +SDR 16 TDI (D9FF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -4789,7 +4789,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (B7FF); +SDR 16 TDI (B7F7); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -4819,9 +4819,9 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BDFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -4833,7 +4833,7 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFB); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -4843,7 +4843,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -4851,11 +4851,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (BFBF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BEFE); +SDR 16 TDI (BEFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FB7F); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -4883,11 +4883,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BDFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FF7F); RUNTEST 10 TCK; SDR 16 TDI (7DFF); RUNTEST 10 TCK; @@ -4907,7 +4907,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F7FF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -4933,23 +4933,23 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFF7); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FF7); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFD); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FBFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFB); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -4963,17 +4963,17 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFE); RUNTEST 10 TCK; -SDR 16 TDI (AFFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (F7FF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFBF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -4995,23 +4995,23 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFF7); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (BF7F); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7EFF); +SDR 16 TDI (7EFD); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F7FF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -5029,11 +5029,11 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (AFFF); RUNTEST 10 TCK; -SDR 16 TDI (BBFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FEF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5045,7 +5045,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFD); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5059,13 +5059,13 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFEF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BDFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (6FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5073,13 +5073,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7EFE); +SDR 16 TDI (7EFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (BFF7); RUNTEST 10 TCK; -SDR 16 TDI (DFDF); +SDR 16 TDI (FFCF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -5087,15 +5087,15 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFBB); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (6FFE); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (AFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F7FF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -5119,17 +5119,17 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFF7); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (6FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (5FFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (77FB); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5137,13 +5137,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFD); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FBDF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -5151,13 +5151,13 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFBF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EFEF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BEFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5171,11 +5171,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (BFEF); RUNTEST 10 TCK; -SDR 16 TDI (DFFF); +SDR 16 TDI (FFAF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -5185,15 +5185,15 @@ SDR 16 TDI (A55F); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FF7); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BFFB); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFD); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7F7D); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5201,13 +5201,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FD7); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EFFF); RUNTEST 10 TCK; -SDR 16 TDI (BDF5); +SDR 16 TDI (BBBB); RUNTEST 10 TCK; -SDR 16 TDI (F375); +SDR 16 TDI (BFF4); RUNTEST 10 TCK; SDR 16 TDI (75FF); RUNTEST 10 TCK; @@ -5217,15 +5217,15 @@ SDR 16 TDI (AFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (77FF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (6EEF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (6FF7); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5235,11 +5235,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FAFF); RUNTEST 10 TCK; SDR 16 TDI (7DFF); RUNTEST 10 TCK; @@ -5247,49 +5247,49 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (A55F); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BFF5); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FBB); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); -RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; +SDR 16 TDI (7DFF); +RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7F7D); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (EFDF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (BF5F); +SDR 16 TDI (BFF7); RUNTEST 10 TCK; -SDR 16 TDI (DF74); +SDR 16 TDI (F7F4); RUNTEST 10 TCK; -SDR 16 TDI (75FF); +SDR 16 TDI (7DFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (AAAF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFB); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFDB); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFD); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (777F); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5297,15 +5297,15 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFB); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFEF); +SDR 16 TDI (BF5F); RUNTEST 10 TCK; -SDR 16 TDI (EFFF); +SDR 16 TDI (5F7F); RUNTEST 10 TCK; -SDR 16 TDI (7DFF); +SDR 16 TDI (79FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5313,13 +5313,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (6FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BFF7); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -5329,11 +5329,11 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FDF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FDFF); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; -SDR 16 TDI (BDFF); +SDR 16 TDI (BDFD); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5343,17 +5343,17 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7FDD); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7F7D); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F6DF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7BEF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5367,7 +5367,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FBFF); +SDR 16 TDI (FDFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -5375,11 +5375,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFD8); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (6FF5); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (B7AF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -5393,13 +5393,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FED); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (DEFF); +SDR 16 TDI (9FEF); RUNTEST 10 TCK; -SDR 16 TDI (BBDF); +SDR 16 TDI (B9C9); RUNTEST 10 TCK; -SDR 16 TDI (DFFF); +SDR 16 TDI (CD7F); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -5409,15 +5409,15 @@ SDR 16 TDI (BAFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (777B); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFF7); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFD); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7B6D); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5425,13 +5425,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFB); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFD); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFED); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (E9EE); +SDR 16 TDI (FFEE); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -5439,17 +5439,17 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (3FF7); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FBF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (4E59); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFE); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (3FFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (64F7); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5457,31 +5457,31 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7F97); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (EFFF); RUNTEST 10 TCK; -SDR 16 TDI (BCF7); +SDR 16 TDI (BEBE); RUNTEST 10 TCK; -SDR 16 TDI (F67F); +SDR 16 TDI (BAFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (A55F); +SDR 16 TDI (A55C); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFE); RUNTEST 10 TCK; -SDR 16 TDI (7FDF); +SDR 16 TDI (67CB); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F843); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFC); RUNTEST 10 TCK; -SDR 16 TDI (FFEF); +SDR 16 TDI (3FEF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7E79); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5489,31 +5489,31 @@ SDR 16 TDI (BFFE); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7F4A); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (0C0F); +SDR 16 TDI (0FCF); RUNTEST 10 TCK; -SDR 16 TDI (B000); +SDR 16 TDI (B021); RUNTEST 10 TCK; -SDR 16 TDI (0075); +SDR 16 TDI (0875); RUNTEST 10 TCK; SDR 16 TDI (6BFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (AFFF); +SDR 16 TDI (AFFC); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFC2); RUNTEST 10 TCK; -SDR 16 TDI (7FBF); +SDR 16 TDI (66F8); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (B801); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFC); RUNTEST 10 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (3FDF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (6061); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5521,13 +5521,13 @@ SDR 16 TDI (BFFD); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7F40); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (0C0F); +SDR 16 TDI (0FCF); RUNTEST 10 TCK; -SDR 16 TDI (B828); +SDR 16 TDI (A821); RUNTEST 10 TCK; -SDR 16 TDI (007F); +SDR 16 TDI (087F); RUNTEST 10 TCK; SDR 16 TDI (77FF); RUNTEST 10 TCK; @@ -5535,17 +5535,17 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (B55F); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFF2); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (6FE1); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (3FFB); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFC); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (3FFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7601); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5553,9 +5553,9 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7F00); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (0C0F); +SDR 16 TDI (1FCF); RUNTEST 10 TCK; SDR 16 TDI (B880); RUNTEST 10 TCK; @@ -5567,17 +5567,17 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFF3); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FF9); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (3FFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFE); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (3FFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7001); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5585,13 +5585,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7F00); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (0C0F); +SDR 16 TDI (1FCF); RUNTEST 10 TCK; SDR 16 TDI (B880); RUNTEST 10 TCK; -SDR 16 TDI (483F); +SDR 16 TDI (007F); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -5599,17 +5599,17 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (3333); +SDR 16 TDI (B333); RUNTEST 10 TCK; -SDR 16 TDI (63E6); +SDR 16 TDI (63F6); RUNTEST 10 TCK; -SDR 16 TDI (6667); +SDR 16 TDI (6E67); RUNTEST 10 TCK; -SDR 16 TDI (B333); +SDR 16 TDI (B330); RUNTEST 10 TCK; -SDR 16 TDI (31F3); +SDR 16 TDI (B1F3); RUNTEST 10 TCK; -SDR 16 TDI (6666); +SDR 16 TDI (6E28); RUNTEST 10 TCK; SDR 16 TDI (7999); RUNTEST 10 TCK; @@ -5617,13 +5617,13 @@ SDR 16 TDI (B31F); RUNTEST 10 TCK; SDR 16 TDI (3333); RUNTEST 10 TCK; -SDR 16 TDI (6788); +SDR 16 TDI (67CC); RUNTEST 10 TCK; -SDR 16 TDI (ECE7); +SDR 16 TDI (CCE7); RUNTEST 10 TCK; -SDR 16 TDI (B98B); +SDR 16 TDI (B988); RUNTEST 10 TCK; -SDR 16 TDI (897C); +SDR 16 TDI (8B7C); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -5631,17 +5631,17 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (7777); +SDR 16 TDI (3777); RUNTEST 10 TCK; -SDR 16 TDI (6957); +SDR 16 TDI (6147); RUNTEST 10 TCK; -SDR 16 TDI (7777); +SDR 16 TDI (6667); RUNTEST 10 TCK; SDR 16 TDI (B777); RUNTEST 10 TCK; -SDR 16 TDI (74AB); +SDR 16 TDI (36AB); RUNTEST 10 TCK; -SDR 16 TDI (7777); +SDR 16 TDI (6767); RUNTEST 10 TCK; SDR 16 TDI (7BBB); RUNTEST 10 TCK; @@ -5651,11 +5651,11 @@ SDR 16 TDI (BBBB); RUNTEST 10 TCK; SDR 16 TDI (77DD); RUNTEST 10 TCK; -SDR 16 TDI (CCCA); +SDR 16 TDI (9DCA); RUNTEST 10 TCK; -SDR 16 TDI (B4D9); +SDR 16 TDI (B4DD); RUNTEST 10 TCK; -SDR 16 TDI (D9FB); +SDR 16 TDI (D8FB); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -5663,17 +5663,17 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFB); RUNTEST 10 TCK; -SDR 16 TDI (7FDF); +SDR 16 TDI (7BDF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFD); RUNTEST 10 TCK; SDR 16 TDI (FFEF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FED); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5683,11 +5683,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (7F7F); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (B7FF); RUNTEST 10 TCK; -SDR 16 TDI (7B5F); +SDR 16 TDI (FF7F); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -5699,11 +5699,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FEFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -5713,13 +5713,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFD); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BDFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FBFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -5727,15 +5727,15 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BBFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FEE); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F7FF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F7FB); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -5747,11 +5747,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (DDFF); +SDR 16 TDI (FBDF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BDEE); RUNTEST 10 TCK; -SDR 16 TDI (FEFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -5767,7 +5767,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7BF7); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -5779,11 +5779,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FEFF); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FDFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7DFF); RUNTEST 10 TCK; @@ -5795,7 +5795,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F6FF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -5809,11 +5809,11 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFD); RUNTEST 10 TCK; -SDR 16 TDI (EFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BDFF); +SDR 16 TDI (BBFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5823,19 +5823,19 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F7F7); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (6FF7); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFD); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7DDF); RUNTEST 10 TCK; -SDR 16 TDI (EFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -5861,11 +5861,11 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFE); -RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (6FFF); +SDR 16 TDI (FFFF); +RUNTEST 10 TCK; +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -5875,7 +5875,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BEFF); RUNTEST 10 TCK; @@ -5887,15 +5887,15 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F7EF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FEBF); RUNTEST 10 TCK; -SDR 16 TDI (BFFD); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (EFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -5905,11 +5905,11 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7EEE); +SDR 16 TDI (7EFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFB); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -5919,19 +5919,19 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFF7); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (77F7); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFB); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (6FFF); +SDR 16 TDI (7BDF); RUNTEST 10 TCK; -SDR 16 TDI (F7FF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -5939,7 +5939,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BEFF); RUNTEST 10 TCK; @@ -5951,31 +5951,31 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7FDF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFB); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (77FF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7EFF); +SDR 16 TDI (7EEF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFBF); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -5983,15 +5983,15 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EB7F); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (6FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BDFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (77FF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -6007,7 +6007,7 @@ SDR 16 TDI (FFDF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFEB); +SDR 16 TDI (FFFB); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -6015,17 +6015,17 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7F5F); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BDF7); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (77FF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (77FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -6033,13 +6033,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FDB); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFDD); +SDR 16 TDI (EFDD); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -6047,7 +6047,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (D7FF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -6059,7 +6059,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -6067,11 +6067,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFEF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -6079,15 +6079,15 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (A55F); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (6FFE); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DFBD); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (B7FF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F7FF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -6099,7 +6099,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFF7); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -6111,19 +6111,19 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (AFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFBF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFB); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (AFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (77FD); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -6131,11 +6131,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFE); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFB); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FAFF); RUNTEST 10 TCK; SDR 16 TDI (7DFF); RUNTEST 10 TCK; @@ -6143,19 +6143,19 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (A55F); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7BF7); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FEF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F6F7); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FF7); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -6167,7 +6167,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FDF5); +SDR 16 TDI (DFF4); RUNTEST 10 TCK; SDR 16 TDI (79FF); RUNTEST 10 TCK; @@ -6175,17 +6175,17 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (AAFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7F77); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EBBF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (B7FF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F6FB); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (77FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -6193,29 +6193,29 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFD); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FB7E); +SDR 16 TDI (FFFE); RUNTEST 10 TCK; -SDR 16 TDI (6DFF); +SDR 16 TDI (7DFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EAFE); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFB); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BFFB); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (AFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -6227,11 +6227,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EEFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFDF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EAFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -6239,15 +6239,15 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EEFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (6FEF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7DEF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFBF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -6261,7 +6261,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BDFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -6271,17 +6271,17 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (AF5A); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (6FEB); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EFAB); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (A3FF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (E3BF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (67FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -6291,11 +6291,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EFE7); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FC7F); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -6303,19 +6303,19 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BAAF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F4FF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (77F7); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (13FF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FF7); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -6323,61 +6323,61 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FEFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BBFD); RUNTEST 10 TCK; -SDR 16 TDI (FBFE); +SDR 16 TDI (DBFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (6FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (5BB7); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFC); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FD54); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FEF7); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFD); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFE); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFD); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFBF); RUNTEST 10 TCK; -SDR 16 TDI (FCFF); +SDR 16 TDI (EFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (A55F); +SDR 16 TDI (A55C); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (3803); RUNTEST 10 TCK; -SDR 16 TDI (7FDF); +SDR 16 TDI (6FC8); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (08E3); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BBFF); RUNTEST 10 TCK; -SDR 16 TDI (FFEF); +SDR 16 TDI (FBEC); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (67FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -6385,31 +6385,31 @@ SDR 16 TDI (BFFE); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FF3); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (CC3F); RUNTEST 10 TCK; -SDR 16 TDI (B7FF); +SDR 16 TDI (B618); RUNTEST 10 TCK; -SDR 16 TDI (FC74); +SDR 16 TDI (24F5); RUNTEST 10 TCK; SDR 16 TDI (75FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (AFFF); +SDR 16 TDI (AFFC); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (32C3); RUNTEST 10 TCK; -SDR 16 TDI (7FBF); +SDR 16 TDI (6FA8); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (6803); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (FF7C); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (67FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -6417,31 +6417,31 @@ SDR 16 TDI (BFFD); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FF3); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (CC3F); RUNTEST 10 TCK; -SDR 16 TDI (AFFF); +SDR 16 TDI (BE18); RUNTEST 10 TCK; -SDR 16 TDI (FC7F); +SDR 16 TDI (207E); RUNTEST 10 TCK; -SDR 16 TDI (6DFF); +SDR 16 TDI (75FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (B55F); +SDR 16 TDI (B55C); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (E40F); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFE); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (35E3); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (B3FF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F3FC); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FE1); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -6449,61 +6449,61 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FF3); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (CC37); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BE18); RUNTEST 10 TCK; -SDR 16 TDI (F875); +SDR 16 TDI (2075); RUNTEST 10 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFC); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (C243); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FF0); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (712F); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFC); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFE); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (1FFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FF3); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (CC3F); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BE18); RUNTEST 10 TCK; -SDR 16 TDI (F87E); +SDR 16 TDI (22AF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFE); RUNTEST 10 TCK; -SDR 16 TDI (3333); +SDR 16 TDI (3BBB); RUNTEST 10 TCK; -SDR 16 TDI (63E6); +SDR 16 TDI (63EE); RUNTEST 10 TCK; -SDR 16 TDI (6667); +SDR 16 TDI (27E7); RUNTEST 10 TCK; -SDR 16 TDI (B333); +SDR 16 TDI (BB33); RUNTEST 10 TCK; -SDR 16 TDI (31F3); +SDR 16 TDI (3BF3); RUNTEST 10 TCK; SDR 16 TDI (6666); RUNTEST 10 TCK; @@ -6515,29 +6515,29 @@ SDR 16 TDI (3333); RUNTEST 10 TCK; SDR 16 TDI (67CC); RUNTEST 10 TCK; -SDR 16 TDI (CCC7); +SDR 16 TDI (CECF); RUNTEST 10 TCK; -SDR 16 TDI (B999); +SDR 16 TDI (B9BB); RUNTEST 10 TCK; -SDR 16 TDI (9BFF); +SDR 16 TDI (D17F); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFE); RUNTEST 10 TCK; -SDR 16 TDI (7777); +SDR 16 TDI (7333); RUNTEST 10 TCK; -SDR 16 TDI (6957); +SDR 16 TDI (6947); RUNTEST 10 TCK; -SDR 16 TDI (7777); +SDR 16 TDI (3677); RUNTEST 10 TCK; -SDR 16 TDI (B777); +SDR 16 TDI (B377); RUNTEST 10 TCK; -SDR 16 TDI (74AB); +SDR 16 TDI (70A3); RUNTEST 10 TCK; -SDR 16 TDI (7777); +SDR 16 TDI (7766); RUNTEST 10 TCK; SDR 16 TDI (7BBB); RUNTEST 10 TCK; @@ -6545,13 +6545,13 @@ SDR 16 TDI (B74A); RUNTEST 10 TCK; SDR 16 TDI (BBBB); RUNTEST 10 TCK; -SDR 16 TDI (77DD); +SDR 16 TDI (77DC); RUNTEST 10 TCK; -SDR 16 TDI (DDD2); +SDR 16 TDI (CCCA); RUNTEST 10 TCK; -SDR 16 TDI (B5DD); +SDR 16 TDI (B199); RUNTEST 10 TCK; -SDR 16 TDI (D9FF); +SDR 16 TDI (99FF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -6559,17 +6559,17 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BFFB); RUNTEST 10 TCK; -SDR 16 TDI (7FDF); +SDR 16 TDI (77DE); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFEF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (6FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -6583,9 +6583,9 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (B7FF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (3737); RUNTEST 10 TCK; -SDR 16 TDI (6FFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -6593,6 +6593,14 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; +SDR 16 TDI (6FFB); +RUNTEST 10 TCK; +SDR 16 TDI (EFFE); +RUNTEST 10 TCK; +SDR 16 TDI (B7FF); +RUNTEST 10 TCK; +SDR 16 TDI (FBFF); +RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); @@ -6603,7 +6611,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFEF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -6611,23 +6619,15 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFDF); -RUNTEST 10 TCK; -SDR 16 TDI (BDFF); -RUNTEST 10 TCK; -SDR 16 TDI (FDFF); -RUNTEST 10 TCK; -SDR 16 TDI (7FFF); -RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (ABF7); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FEE); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DEEF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -6641,11 +6641,11 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FDF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFEF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -6659,11 +6659,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BBFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F7FF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -6675,9 +6675,9 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (FFEF); RUNTEST 10 TCK; -SDR 16 TDI (BDFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -6687,11 +6687,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (AFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFB); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (6FFD); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EFFE); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -6705,13 +6705,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FEF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FDFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7DFF); RUNTEST 10 TCK; @@ -6721,15 +6721,15 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FF7); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FBFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7EFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -6765,13 +6765,13 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BDFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F7FF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -6783,27 +6783,27 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFE); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFE); RUNTEST 10 TCK; -SDR 16 TDI (F7FF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BDFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7EFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F7FF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -6817,15 +6817,15 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FF7); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFBF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7F7F); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -6845,19 +6845,19 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFD); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFB); RUNTEST 10 TCK; -SDR 16 TDI (BBFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFB); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -6867,11 +6867,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7EFF); RUNTEST 10 TCK; -SDR 16 TDI (FEFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFBF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -6879,11 +6879,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FEFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (6FFE); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -6893,13 +6893,13 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BF7F); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7EFF); RUNTEST 10 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -6911,17 +6911,17 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FBFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (5EFF); RUNTEST 10 TCK; -SDR 16 TDI (B7FF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (6FFD); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -6931,7 +6931,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FBFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -6941,13 +6941,13 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFD); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FDFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFD); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -6963,11 +6963,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FEFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFBF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -6975,11 +6975,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (A55F); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BF7F); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (6FEB); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7BDD); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -6997,27 +6997,27 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BBDF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFF5); RUNTEST 10 TCK; -SDR 16 TDI (7DFF); +SDR 16 TDI (75FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (AFFF); +SDR 16 TDI (AFFE); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFA); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F7F7); RUNTEST 10 TCK; -SDR 16 TDI (BFFB); +SDR 16 TDI (BFFD); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (E7FF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFB); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -7033,25 +7033,25 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFE); RUNTEST 10 TCK; -SDR 16 TDI (75FF); +SDR 16 TDI (7DFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (A55F); +SDR 16 TDI (A55E); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F6FF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFB); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FCFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); -RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7FF7); +RUNTEST 10 TCK; +SDR 16 TDI (7FFB); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -7071,15 +7071,15 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (AAAF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (6FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BFB7); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F7FF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -7091,11 +7091,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FEFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7DFF); RUNTEST 10 TCK; @@ -7103,17 +7103,17 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FBEB); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FEF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F7FB); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFD); RUNTEST 10 TCK; -SDR 16 TDI (FFF7); +SDR 16 TDI (DFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FF7); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -7139,15 +7139,15 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BEFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -7157,7 +7157,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BBDF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -7167,19 +7167,19 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (33EF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FF5); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (E37F); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); -RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7FF7); +RUNTEST 10 TCK; +SDR 16 TDI (7FFB); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -7189,7 +7189,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BF9F); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -7197,19 +7197,19 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BAAF); +SDR 16 TDI (BAAC); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFF5); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FEB); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (3E8F); RUNTEST 10 TCK; -SDR 16 TDI (BFF7); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFF7); +SDR 16 TDI (9FFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFE); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -7221,7 +7221,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (B9FF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFE); RUNTEST 10 TCK; @@ -7231,17 +7231,17 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FE7B); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (67FF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DDF1); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFC); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (E3FF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FED); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -7251,7 +7251,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FEFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -7261,21 +7261,21 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (A55F); +SDR 16 TDI (A55C); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (8D40); RUNTEST 10 TCK; -SDR 16 TDI (7FDF); +SDR 16 TDI (67DF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DE05); RUNTEST 10 TCK; -SDR 16 TDI (BFC3); +SDR 16 TDI (BFFD); RUNTEST 10 TCK; -SDR 16 TDI (FFE3); +SDR 16 TDI (13EF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FF9); RUNTEST 10 TCK; -SDR 16 TDI (9FFF); +SDR 16 TDI (FFE1); RUNTEST 10 TCK; SDR 16 TDI (BFFE); RUNTEST 10 TCK; @@ -7283,9 +7283,9 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FCFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (B667); +SDR 16 TDI (B7FF); RUNTEST 10 TCK; SDR 16 TDI (FFF5); RUNTEST 10 TCK; @@ -7293,21 +7293,21 @@ SDR 16 TDI (69FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (AFFF); +SDR 16 TDI (AFFC); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (8D43); RUNTEST 10 TCK; -SDR 16 TDI (7FBF); +SDR 16 TDI (67A5); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (5605); RUNTEST 10 TCK; -SDR 16 TDI (BFC3); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFD3); +SDR 16 TDI (83DF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FE1); RUNTEST 10 TCK; -SDR 16 TDI (9FFF); +SDR 16 TDI (FFE1); RUNTEST 10 TCK; SDR 16 TDI (BFFD); RUNTEST 10 TCK; @@ -7315,9 +7315,9 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FCFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (AE67); +SDR 16 TDI (AFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -7325,21 +7325,21 @@ SDR 16 TDI (7DFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (B55F); +SDR 16 TDI (B55E); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (C013); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FE0); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (0C0F); RUNTEST 10 TCK; -SDR 16 TDI (BFC3); +SDR 16 TDI (BFFD); RUNTEST 10 TCK; -SDR 16 TDI (FFF3); +SDR 16 TDI (B7FF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFE); RUNTEST 10 TCK; -SDR 16 TDI (9FFF); +SDR 16 TDI (1FE1); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -7347,9 +7347,9 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FCFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (B87F); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFF5); RUNTEST 10 TCK; @@ -7357,21 +7357,21 @@ SDR 16 TDI (6BFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFE); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (4013); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFE); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (0F07); RUNTEST 10 TCK; -SDR 16 TDI (BFC3); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFF3); +SDR 16 TDI (E7FF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFE); RUNTEST 10 TCK; -SDR 16 TDI (9FFF); +SDR 16 TDI (1FE1); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -7379,11 +7379,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FCFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (B87F); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFBD); +SDR 16 TDI (FFFD); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -7391,19 +7391,19 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (3333); +SDR 16 TDI (9B33); RUNTEST 10 TCK; -SDR 16 TDI (63E6); +SDR 16 TDI (73E9); RUNTEST 10 TCK; -SDR 16 TDI (6667); +SDR 16 TDI (E66F); RUNTEST 10 TCK; -SDR 16 TDI (B33B); +SDR 16 TDI (B333); RUNTEST 10 TCK; -SDR 16 TDI (31F7); +SDR 16 TDI (B9F3); RUNTEST 10 TCK; -SDR 16 TDI (6666); +SDR 16 TDI (666E); RUNTEST 10 TCK; -SDR 16 TDI (F999); +SDR 16 TDI (F99D); RUNTEST 10 TCK; SDR 16 TDI (B31F); RUNTEST 10 TCK; @@ -7411,9 +7411,9 @@ SDR 16 TDI (3333); RUNTEST 10 TCK; SDR 16 TDI (67CC); RUNTEST 10 TCK; -SDR 16 TDI (CEC7); +SDR 16 TDI (CCC7); RUNTEST 10 TCK; -SDR 16 TDI (BA39); +SDR 16 TDI (B999); RUNTEST 10 TCK; SDR 16 TDI (99FF); RUNTEST 10 TCK; @@ -7423,31 +7423,31 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (7777); +SDR 16 TDI (2377); RUNTEST 10 TCK; -SDR 16 TDI (6957); +SDR 16 TDI (6546); RUNTEST 10 TCK; -SDR 16 TDI (7777); +SDR 16 TDI (7727); RUNTEST 10 TCK; -SDR 16 TDI (B773); +SDR 16 TDI (B777); RUNTEST 10 TCK; -SDR 16 TDI (76A3); +SDR 16 TDI (30AB); RUNTEST 10 TCK; -SDR 16 TDI (7776); +SDR 16 TDI (7766); RUNTEST 10 TCK; -SDR 16 TDI (7BBB); +SDR 16 TDI (7BB9); RUNTEST 10 TCK; -SDR 16 TDI (B74A); +SDR 16 TDI (B76A); RUNTEST 10 TCK; SDR 16 TDI (BBBB); RUNTEST 10 TCK; SDR 16 TDI (77DD); RUNTEST 10 TCK; -SDR 16 TDI (DCDA); +SDR 16 TDI (DDD2); RUNTEST 10 TCK; -SDR 16 TDI (B19D); +SDR 16 TDI (B5DD); RUNTEST 10 TCK; -SDR 16 TDI (DDFD); +SDR 16 TDI (DDFB); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -7455,19 +7455,19 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DFBB); RUNTEST 10 TCK; -SDR 16 TDI (7FDF); +SDR 16 TDI (7FDD); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFDF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFEF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFEF); RUNTEST 10 TCK; SDR 16 TDI (BFFE); RUNTEST 10 TCK; @@ -7477,9 +7477,9 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (B77F); +SDR 16 TDI (B7FF); RUNTEST 10 TCK; -SDR 16 TDI (FFBF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -7487,29 +7487,29 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FF77); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (6FFD); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7F77); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F7FF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFB); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FDF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFD); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -7519,13 +7519,13 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DEEE); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FF7); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFE); +SDR 16 TDI (BFF7); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -7541,7 +7541,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFE); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -7551,13 +7551,13 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (AFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FF7F); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FEA); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FF7F); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFF7); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -7583,29 +7583,29 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (AFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DFFB); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (77FF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFEF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FBFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFB); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FEF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFD); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -7625,7 +7625,7 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFD); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -7633,11 +7633,11 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFE); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DFFF); RUNTEST 10 TCK; -SDR 16 TDI (BDFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -7647,19 +7647,19 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFBF); +SDR 16 TDI (BFEF); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7F77); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FEFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -7667,7 +7667,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FBFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -7679,27 +7679,27 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FBDF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (77FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFF7); +SDR 16 TDI (FBFF); RUNTEST 10 TCK; -SDR 16 TDI (7FF7); +SDR 16 TDI (7FEE); RUNTEST 10 TCK; -SDR 16 TDI (FEFF); +SDR 16 TDI (FFFD); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7EFF); +SDR 16 TDI (7EFD); RUNTEST 10 TCK; -SDR 16 TDI (F7FF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -7717,11 +7717,11 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BF7F); +SDR 16 TDI (BFDF); RUNTEST 10 TCK; -SDR 16 TDI (FFFD); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7EFF); +SDR 16 TDI (7FFB); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -7731,9 +7731,9 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DFFF); RUNTEST 10 TCK; -SDR 16 TDI (BDFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -7743,13 +7743,13 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); -RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; +SDR 16 TDI (7FFE); +RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BB5E); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -7763,29 +7763,29 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7EFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); -RUNTEST 10 TCK; -SDR 16 TDI (BFEF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; +SDR 16 TDI (FFFF); +RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FDFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FEF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7F7F); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -7793,11 +7793,11 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7EFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFDF); RUNTEST 10 TCK; -SDR 16 TDI (BFFE); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -7807,17 +7807,17 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7BFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (AEFB); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (F7FF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7F3F); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -7825,13 +7825,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFB); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFBF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (6FBF); +SDR 16 TDI (FFBF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -7839,16 +7839,16 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FDFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (B7AE); -RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; +SDR 16 TDI (FFFF); +RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); @@ -7859,11 +7859,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFF7); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFBF); +SDR 16 TDI (FFBF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -7871,20 +7871,12 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (B77F); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FDFB); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (AFBF); -RUNTEST 10 TCK; -SDR 16 TDI (F7FB); -RUNTEST 10 TCK; -SDR 16 TDI (77BF); -RUNTEST 10 TCK; -SDR 16 TDI (7FFF); -RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); @@ -7899,7 +7891,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -7911,11 +7903,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FF6E); RUNTEST 10 TCK; -SDR 16 TDI (7F7F); +SDR 16 TDI (7FFA); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BAFB); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -7923,17 +7915,17 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FBF6); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (DFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (A77F); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -7941,21 +7933,29 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (B7F7); +SDR 16 TDI (A77F); RUNTEST 10 TCK; -SDR 16 TDI (7FBF); +SDR 16 TDI (FFEF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (77EF); +RUNTEST 10 TCK; +SDR 16 TDI (EFEF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; +SDR 16 TDI (FFFF); +RUNTEST 10 TCK; +SDR 16 TDI (7FFF); +RUNTEST 10 TCK; +SDR 16 TDI (FFFF); +RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FDEF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -7971,13 +7971,13 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BDFD); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FB6); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -7987,11 +7987,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EFFF); RUNTEST 10 TCK; -SDR 16 TDI (BBFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FDBF); +SDR 16 TDI (FFBF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -7999,17 +7999,17 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FABE); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (77EB); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (AABB); RUNTEST 10 TCK; -SDR 16 TDI (BBEF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (7BF7); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFB); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -8017,9 +8017,9 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFD); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (DBBF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -8031,17 +8031,17 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFF7); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFE); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BD7E); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFD); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -8051,9 +8051,9 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FEFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFDB); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFBF); RUNTEST 10 TCK; @@ -8063,17 +8063,17 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFA6); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFA); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FBBB); RUNTEST 10 TCK; -SDR 16 TDI (BFF6); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (77DE); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -8081,11 +8081,11 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFE); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FE9D); +SDR 16 TDI (CFFF); RUNTEST 10 TCK; -SDR 16 TDI (BBBF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFBF); RUNTEST 10 TCK; @@ -8095,19 +8095,19 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BABF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFB); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DFFF); RUNTEST 10 TCK; -SDR 16 TDI (BC6D); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (3B77); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7F6D); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (1FFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -8115,11 +8115,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FDFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFB); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (DFBF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -8127,17 +8127,17 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F85D); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (67E5); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (2475); RUNTEST 10 TCK; -SDR 16 TDI (A3BF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (F7FB); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FBB); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -8147,11 +8147,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (E3F7); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FBFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -8159,17 +8159,17 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (A55F); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (CEFC); RUNTEST 10 TCK; -SDR 16 TDI (7FDF); +SDR 16 TDI (65CC); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (422B); RUNTEST 10 TCK; -SDR 16 TDI (A423); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FEF); +SDR 16 TDI (FFEF); RUNTEST 10 TCK; -SDR 16 TDI (7E85); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -8177,13 +8177,13 @@ SDR 16 TDI (BFFE); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FF3); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (C82F); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (B661); +SDR 16 TDI (B7FF); RUNTEST 10 TCK; -SDR 16 TDI (81FF); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -8191,31 +8191,31 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (AFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (CFFC); RUNTEST 10 TCK; -SDR 16 TDI (7FBF); +SDR 16 TDI (67AC); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (6021); RUNTEST 10 TCK; -SDR 16 TDI (A020); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (3FFF); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; -SDR 16 TDI (7E00); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFD); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FF3); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (C22F); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BE61); +SDR 16 TDI (AFFF); RUNTEST 10 TCK; -SDR 16 TDI (81DF); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -8223,31 +8223,31 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (B55F); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); -RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (CFFC); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (67F4); RUNTEST 10 TCK; -SDR 16 TDI (A06F); +SDR 16 TDI (7DDF); RUNTEST 10 TCK; -SDR 16 TDI (F3FC); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (6165); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; +SDR 16 TDI (FFFF); +RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FF3); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (C427); +SDR 16 TDI (CFFF); RUNTEST 10 TCK; -SDR 16 TDI (BE61); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (81FF); +SDR 16 TDI (FFBF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -8255,31 +8255,31 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (CFF4); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (77F1); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (7BCD); RUNTEST 10 TCK; -SDR 16 TDI (A02F); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (F3FC); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (61E1); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (3FFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FF3); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (C22F); +SDR 16 TDI (CFFF); RUNTEST 10 TCK; -SDR 16 TDI (BE61); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (81DF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -8287,17 +8287,17 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (3333); +SDR 16 TDI (3B33); RUNTEST 10 TCK; -SDR 16 TDI (63E6); +SDR 16 TDI (63EE); RUNTEST 10 TCK; -SDR 16 TDI (6666); +SDR 16 TDI (EEE7); RUNTEST 10 TCK; -SDR 16 TDI (B137); +SDR 16 TDI (B333); RUNTEST 10 TCK; -SDR 16 TDI (39F7); +SDR 16 TDI (31F3); RUNTEST 10 TCK; -SDR 16 TDI (6F66); +SDR 16 TDI (6666); RUNTEST 10 TCK; SDR 16 TDI (7999); RUNTEST 10 TCK; @@ -8307,11 +8307,11 @@ SDR 16 TDI (3333); RUNTEST 10 TCK; SDR 16 TDI (67CC); RUNTEST 10 TCK; -SDR 16 TDI (E45F); +SDR 16 TDI (ECC7); RUNTEST 10 TCK; -SDR 16 TDI (B99B); +SDR 16 TDI (B999); RUNTEST 10 TCK; -SDR 16 TDI (9BFF); +SDR 16 TDI (99FF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -8319,17 +8319,17 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (7777); +SDR 16 TDI (7266); RUNTEST 10 TCK; -SDR 16 TDI (6957); +SDR 16 TDI (6946); RUNTEST 10 TCK; -SDR 16 TDI (7777); +SDR 16 TDI (6677); RUNTEST 10 TCK; -SDR 16 TDI (B233); +SDR 16 TDI (B777); RUNTEST 10 TCK; -SDR 16 TDI (32A3); +SDR 16 TDI (74AB); RUNTEST 10 TCK; -SDR 16 TDI (6676); +SDR 16 TDI (7777); RUNTEST 10 TCK; SDR 16 TDI (7BBB); RUNTEST 10 TCK; @@ -8337,15 +8337,15 @@ SDR 16 TDI (B74A); RUNTEST 10 TCK; SDR 16 TDI (BBBB); RUNTEST 10 TCK; -SDR 16 TDI (77DC); +SDR 16 TDI (77DD); RUNTEST 10 TCK; -SDR 16 TDI (CCCA); +SDR 16 TDI (CDD2); RUNTEST 10 TCK; -SDR 16 TDI (B199); +SDR 16 TDI (B5DD); RUNTEST 10 TCK; -SDR 16 TDI (99BB); +SDR 16 TDI (DDBB); RUNTEST 10 TCK; -SDR 16 TDI (777F); +SDR 16 TDI (77BF); RUNTEST 10 TCK; SDR 16 TDI (FFFB); RUNTEST 10 TCK; @@ -8371,9 +8371,9 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FDF); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FFEF); RUNTEST 10 TCK; -SDR 16 TDI (B9FF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFEF); RUNTEST 10 TCK; @@ -8387,11 +8387,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (F76F); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (B7FF); RUNTEST 10 TCK; -SDR 16 TDI (F7F7); +SDR 16 TDI (FFF7); RUNTEST 10 TCK; SDR 16 TDI (6EEF); RUNTEST 10 TCK; @@ -8421,7 +8421,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BD7F); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -8433,17 +8433,17 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7EFF); RUNTEST 10 TCK; -SDR 16 TDI (F7DF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BEFD); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (F7FF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FDFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -8463,17 +8463,17 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFD); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (B7F7); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (B7F9); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (6FBF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -8481,9 +8481,9 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7EFF); +SDR 16 TDI (7FF7); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (7F7F); RUNTEST 10 TCK; SDR 16 TDI (BFDF); RUNTEST 10 TCK; @@ -8519,7 +8519,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FBFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -8529,17 +8529,17 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FF7); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFBF); RUNTEST 10 TCK; -SDR 16 TDI (BFFB); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (F7FF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FDFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -8559,15 +8559,15 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (AFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFE); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (B5B7); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFB); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -8577,11 +8577,11 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7EFF); RUNTEST 10 TCK; -SDR 16 TDI (F7EF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (BF3F); +SDR 16 TDI (BFBF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -8609,7 +8609,7 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFD); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -8639,11 +8639,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFD); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FBFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -8667,13 +8667,13 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (DEFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7EFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -8687,7 +8687,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -8705,17 +8705,17 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFE); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FBF7); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (6FFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (DEFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -8735,11 +8735,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFB); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FBFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -8753,7 +8753,7 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFB); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -8783,7 +8783,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -8817,7 +8817,7 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7EFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -8853,9 +8853,9 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFDF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFB); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -8867,7 +8867,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7EFF); RUNTEST 10 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -8903,7 +8903,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (57FF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -8915,7 +8915,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FD7F); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -8949,7 +8949,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFDF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -8999,7 +8999,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFD); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -9045,11 +9045,11 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BBFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFF7); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7EEE); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -9095,7 +9095,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -9131,7 +9131,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FAB7); +SDR 16 TDI (FA97); RUNTEST 10 TCK; SDR 16 TDI (AAEF); RUNTEST 10 TCK; @@ -9141,13 +9141,13 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (ABFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7EAE); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -9155,7 +9155,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (EFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -9179,7 +9179,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FF67); +SDR 16 TDI (FF77); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -9189,7 +9189,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFB); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -9235,13 +9235,13 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (6FDF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -9283,13 +9283,13 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFC); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FDF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -9333,12 +9333,12 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BBFB); +SDR 16 TDI (BFFF); +RUNTEST 10 TCK; +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (6EEE); -RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); @@ -9371,7 +9371,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FF9F); +SDR 16 TDI (FFEF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -9381,13 +9381,13 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (A7FF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFB); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7F37); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (3FFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -9395,7 +9395,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (EFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -9429,13 +9429,13 @@ SDR 16 TDI (7FDF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BBCC); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (3EE0); +SDR 16 TDI (FFEF); RUNTEST 10 TCK; -SDR 16 TDI (6766); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFE); RUNTEST 10 TCK; @@ -9443,7 +9443,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (CFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (B7FF); RUNTEST 10 TCK; @@ -9467,7 +9467,7 @@ SDR 16 TDI (FFDF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FAD7); +SDR 16 TDI (FA77); RUNTEST 10 TCK; SDR 16 TDI (AFFF); RUNTEST 10 TCK; @@ -9477,13 +9477,13 @@ SDR 16 TDI (7FBF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFCC); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (3FD5); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; -SDR 16 TDI (6666); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFD); RUNTEST 10 TCK; @@ -9491,7 +9491,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (CFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (AFFF); RUNTEST 10 TCK; @@ -9525,13 +9525,13 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFCC); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (3FF9); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (67F8); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (1FFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -9539,7 +9539,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (CFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -9573,13 +9573,13 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFCC); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (3FF9); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (67F8); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (1FFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -9587,7 +9587,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (CFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (9FFF); RUNTEST 10 TCK; @@ -9601,7 +9601,7 @@ SDR 16 TDI (9FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -9611,7 +9611,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FF7F); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -9621,11 +9621,11 @@ SDR 16 TDI (63E6); RUNTEST 10 TCK; SDR 16 TDI (6667); RUNTEST 10 TCK; -SDR 16 TDI (A33B); +SDR 16 TDI (B333); RUNTEST 10 TCK; SDR 16 TDI (31F3); RUNTEST 10 TCK; -SDR 16 TDI (666E); +SDR 16 TDI (6666); RUNTEST 10 TCK; SDR 16 TDI (7999); RUNTEST 10 TCK; @@ -9635,7 +9635,7 @@ SDR 16 TDI (3333); RUNTEST 10 TCK; SDR 16 TDI (67CC); RUNTEST 10 TCK; -SDR 16 TDI (ECC7); +SDR 16 TDI (CCC7); RUNTEST 10 TCK; SDR 16 TDI (9999); RUNTEST 10 TCK; @@ -9649,7 +9649,7 @@ SDR 16 TDI (999E); RUNTEST 10 TCK; SDR 16 TDI (6666); RUNTEST 10 TCK; -SDR 16 TDI (47CC); +SDR 16 TDI (67CC); RUNTEST 10 TCK; SDR 16 TDI (CCCF); RUNTEST 10 TCK; @@ -9659,7 +9659,7 @@ SDR 16 TDI (63E6); RUNTEST 10 TCK; SDR 16 TDI (6CCC); RUNTEST 10 TCK; -SDR 16 TDI (FFEF); +SDR 16 TDI (FFBF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -9669,11 +9669,11 @@ SDR 16 TDI (6957); RUNTEST 10 TCK; SDR 16 TDI (7777); RUNTEST 10 TCK; -SDR 16 TDI (B773); +SDR 16 TDI (B777); RUNTEST 10 TCK; -SDR 16 TDI (36A9); +SDR 16 TDI (74AB); RUNTEST 10 TCK; -SDR 16 TDI (6726); +SDR 16 TDI (7777); RUNTEST 10 TCK; SDR 16 TDI (7BBB); RUNTEST 10 TCK; @@ -9683,7 +9683,7 @@ SDR 16 TDI (BBBB); RUNTEST 10 TCK; SDR 16 TDI (77DD); RUNTEST 10 TCK; -SDR 16 TDI (CDD2); +SDR 16 TDI (DDD2); RUNTEST 10 TCK; SDR 16 TDI (B5DD); RUNTEST 10 TCK; @@ -9697,7 +9697,7 @@ SDR 16 TDI (9DDE); RUNTEST 10 TCK; SDR 16 TDI (EEEE); RUNTEST 10 TCK; -SDR 16 TDI (52AE); +SDR 16 TDI (72AE); RUNTEST 10 TCK; SDR 16 TDI (EEEF); RUNTEST 10 TCK; @@ -9707,7 +9707,7 @@ SDR 16 TDI (E957); RUNTEST 10 TCK; SDR 16 TDI (6EEE); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -9717,7 +9717,7 @@ SDR 16 TDI (7FDF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFD); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFEF); RUNTEST 10 TCK; @@ -9755,7 +9755,7 @@ SDR 16 TDI (FFDF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FF6F); +SDR 16 TDI (FFBF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -9765,7 +9765,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BDFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -9779,7 +9779,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -9809,15 +9809,15 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFD); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFBF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFB); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFE); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -9857,7 +9857,7 @@ SDR 16 TDI (AFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFD); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -9865,7 +9865,7 @@ SDR 16 TDI (9FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -9889,7 +9889,7 @@ SDR 16 TDI (9FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -9909,11 +9909,11 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (9DFF); +SDR 16 TDI (9FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFB); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -9923,7 +9923,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (9FFF); RUNTEST 10 TCK; @@ -9937,7 +9937,7 @@ SDR 16 TDI (9FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -9951,23 +9951,23 @@ SDR 16 TDI (FFF7); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FEFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFD); +SDR 16 TDI (FFFB); RUNTEST 10 TCK; SDR 16 TDI (9FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (5FFD); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFB); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -9975,7 +9975,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BF7F); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFB); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -9983,7 +9983,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (9FFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -9991,27 +9991,27 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFF7); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFE); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FBFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (6FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFD); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (EFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -10025,7 +10025,7 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7BFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -10051,9 +10051,9 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFD); RUNTEST 10 TCK; -SDR 16 TDI (BBFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -10063,7 +10063,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (DFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7EFF); RUNTEST 10 TCK; @@ -10073,11 +10073,11 @@ SDR 16 TDI (BF7F); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (77FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFE); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -10087,31 +10087,31 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFF7); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFD); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F9FF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (77FF); RUNTEST 10 TCK; -SDR 16 TDI (FFFB); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFE); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFB); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (F7FF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFF7); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -10119,7 +10119,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFD); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -10149,19 +10149,19 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFDF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FDFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FEFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7EFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -10187,7 +10187,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (9FFF); RUNTEST 10 TCK; @@ -10199,15 +10199,15 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (9FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFB); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (5FDF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (AFFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFD); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7EFF); RUNTEST 10 TCK; @@ -10225,7 +10225,7 @@ SDR 16 TDI (9FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -10235,7 +10235,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; SDR 16 TDI (9FFF); RUNTEST 10 TCK; @@ -10247,15 +10247,15 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (9FFF); RUNTEST 10 TCK; -SDR 16 TDI (5FFB); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (5FEF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFF7); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FEFE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -10273,7 +10273,7 @@ SDR 16 TDI (9FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -10293,15 +10293,15 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFEF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FBF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (DDFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (AFFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -10321,7 +10321,7 @@ SDR 16 TDI (9FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -10343,17 +10343,17 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFF7); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FDFD); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BBBF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (F777); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (6FFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -10369,7 +10369,7 @@ SDR 16 TDI (9FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -10389,17 +10389,17 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (B6EA); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (EFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (6B6B); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFD); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -10417,7 +10417,7 @@ SDR 16 TDI (9FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -10435,19 +10435,19 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BEAD); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (BBFE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7BFA); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (B7FF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -10485,13 +10485,13 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFB); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FBFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (6FEE); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FDFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -10523,7 +10523,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FF47); +SDR 16 TDI (FF67); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -10533,19 +10533,19 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BBBF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFF7); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (5FFF); RUNTEST 10 TCK; -SDR 16 TDI (FF77); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (AEFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (6DE9); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (6BFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -10581,19 +10581,19 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (AFFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFD); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (EF5D); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (AABF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (E55F); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7DFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -10629,19 +10629,19 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (AE7E); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (77F9); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7F7F); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (F5FD); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (7F36); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (6FFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -10677,19 +10677,19 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (B1F5); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (9FF7); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (57E6); +SDR 16 TDI (5FFF); RUNTEST 10 TCK; -SDR 16 TDI (DB53); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (A23F); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (A1EB); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -10723,21 +10723,21 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BF8B); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FBFE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (49F9); +SDR 16 TDI (5FFF); RUNTEST 10 TCK; -SDR 16 TDI (2EFE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BDFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (DEDD); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (79FF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -10773,19 +10773,19 @@ SDR 16 TDI (7FDF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BF16); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (B2EF); +SDR 16 TDI (FFEF); RUNTEST 10 TCK; -SDR 16 TDI (401C); +SDR 16 TDI (5FFF); RUNTEST 10 TCK; -SDR 16 TDI (1C15); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (B02E); +SDR 16 TDI (BFFE); RUNTEST 10 TCK; -SDR 16 TDI (AFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (63FF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -10821,17 +10821,17 @@ SDR 16 TDI (7FBF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BE9C); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (03DF); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; -SDR 16 TDI (4808); +SDR 16 TDI (5FFF); RUNTEST 10 TCK; -SDR 16 TDI (3E95); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (B03D); +SDR 16 TDI (BFFD); RUNTEST 10 TCK; -SDR 16 TDI (F0E3); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -10869,19 +10869,19 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (A31B); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (3FF1); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (641E); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (1E0A); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (B43F); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (20F6); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (61FF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -10917,17 +10917,17 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (B31B); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (3FF3); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (6400); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FE8B); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (A07F); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (3DF2); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -10965,19 +10965,19 @@ SDR 16 TDI (63E6); RUNTEST 10 TCK; SDR 16 TDI (6667); RUNTEST 10 TCK; -SDR 16 TDI (B3B3); +SDR 16 TDI (B333); RUNTEST 10 TCK; -SDR 16 TDI (21F1); +SDR 16 TDI (31F3); RUNTEST 10 TCK; -SDR 16 TDI (466E); +SDR 16 TDI (4666); RUNTEST 10 TCK; -SDR 16 TDI (F99D); +SDR 16 TDI (7999); RUNTEST 10 TCK; -SDR 16 TDI (BB1F); +SDR 16 TDI (B31F); RUNTEST 10 TCK; -SDR 16 TDI (7737); +SDR 16 TDI (3333); RUNTEST 10 TCK; -SDR 16 TDI (63CC); +SDR 16 TDI (67CC); RUNTEST 10 TCK; SDR 16 TDI (CCC7); RUNTEST 10 TCK; @@ -11013,17 +11013,17 @@ SDR 16 TDI (6957); RUNTEST 10 TCK; SDR 16 TDI (7777); RUNTEST 10 TCK; -SDR 16 TDI (A737); +SDR 16 TDI (B777); RUNTEST 10 TCK; -SDR 16 TDI (36AB); +SDR 16 TDI (74AB); RUNTEST 10 TCK; -SDR 16 TDI (5666); +SDR 16 TDI (5777); RUNTEST 10 TCK; -SDR 16 TDI (7BB9); +SDR 16 TDI (7BBB); RUNTEST 10 TCK; -SDR 16 TDI (B34A); +SDR 16 TDI (B74A); RUNTEST 10 TCK; -SDR 16 TDI (33B3); +SDR 16 TDI (BBBB); RUNTEST 10 TCK; SDR 16 TDI (77DD); RUNTEST 10 TCK; @@ -11061,17 +11061,17 @@ SDR 16 TDI (7FDF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFB); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFEF); RUNTEST 10 TCK; -SDR 16 TDI (4FDF); +SDR 16 TDI (5FFF); RUNTEST 10 TCK; -SDR 16 TDI (FDDF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFBE); +SDR 16 TDI (BFFE); RUNTEST 10 TCK; -SDR 16 TDI (FF7F); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -11103,7 +11103,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FEEF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -11115,11 +11115,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (F7FF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -11159,7 +11159,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFB); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (5FFF); RUNTEST 10 TCK; @@ -11167,7 +11167,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFBF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -11199,7 +11199,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (AFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FDDF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -11255,15 +11255,15 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFF7); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFE); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (F77F); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -11293,7 +11293,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFF7); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BBFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -11385,11 +11385,11 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFDF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -11399,7 +11399,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (9FFF); RUNTEST 10 TCK; -SDR 16 TDI (BBF7); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -11409,7 +11409,7 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (6EFF); +SDR 16 TDI (7EFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -11433,7 +11433,7 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7BFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -12469,9 +12469,9 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFEF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -12479,7 +12479,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -12495,7 +12495,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -12503,7 +12503,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (9FFF); RUNTEST 10 TCK; -SDR 16 TDI (DFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -12513,13 +12513,13 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFB); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFBF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFEF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFD); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -12527,9 +12527,9 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FDFF); RUNTEST 10 TCK; -SDR 16 TDI (7FF7); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -12543,7 +12543,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (AFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -12565,7 +12565,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFE); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -12575,7 +12575,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -12599,7 +12599,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (DFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (5FFF); RUNTEST 10 TCK; @@ -12613,7 +12613,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFF7); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -12641,17 +12641,17 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFB); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BDFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EFFF); RUNTEST 10 TCK; SDR 16 TDI (5FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FF7F); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -12665,9 +12665,9 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7F7F); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FF7F); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -12687,7 +12687,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -12695,15 +12695,15 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (5DFF); +SDR 16 TDI (5FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFBF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7EFF); RUNTEST 10 TCK; @@ -12715,7 +12715,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFF7); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -12723,13 +12723,13 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (F7FF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BDFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (6FFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -12737,21 +12737,21 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFB); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BEFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFD); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FF7F); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFBF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7EFF); RUNTEST 10 TCK; @@ -12761,7 +12761,7 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7F7F); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -12783,7 +12783,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -12791,9 +12791,9 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DFFF); RUNTEST 10 TCK; -SDR 16 TDI (5BFF); +SDR 16 TDI (5FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -12811,7 +12811,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFBF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -12825,21 +12825,21 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (6FFF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFE); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (6FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFBF); +SDR 16 TDI (FEFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FBFF); +SDR 16 TDI (FFF7); RUNTEST 10 TCK; SDR 16 TDI (5FFF); RUNTEST 10 TCK; @@ -12851,9 +12851,9 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7EFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; -SDR 16 TDI (BEFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -12869,9 +12869,9 @@ SDR 16 TDI (5FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFD); RUNTEST 10 TCK; -SDR 16 TDI (FFF7); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -12879,11 +12879,11 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (F7FF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (DFFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -12919,23 +12919,23 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFEF); RUNTEST 10 TCK; -SDR 16 TDI (7F7F); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFD); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (6FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFFB); RUNTEST 10 TCK; SDR 16 TDI (5FFF); RUNTEST 10 TCK; @@ -12949,7 +12949,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BF7F); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -12957,7 +12957,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (9FFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -12965,25 +12965,25 @@ SDR 16 TDI (5FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFB); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FBF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (F7FF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (DFDF); +SDR 16 TDI (FF7F); RUNTEST 10 TCK; -SDR 16 TDI (9FFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FBFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (5FFF); RUNTEST 10 TCK; @@ -12995,7 +12995,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFEF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -13005,7 +13005,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (9FFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -13015,7 +13015,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFEF); +SDR 16 TDI (FFF7); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -13025,11 +13025,11 @@ SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFD); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFE); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -13043,7 +13043,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (BBFF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -13063,21 +13063,21 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFD); +SDR 16 TDI (FFFB); RUNTEST 10 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FFDF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFD); RUNTEST 10 TCK; SDR 16 TDI (FBFF); RUNTEST 10 TCK; -SDR 16 TDI (BFFD); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -13091,7 +13091,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (77FF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -13119,7 +13119,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; @@ -13149,7 +13149,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (9FFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (D7FF); RUNTEST 10 TCK; @@ -13161,19 +13161,19 @@ SDR 16 TDI (BEFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (77FF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFEF); +SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFB); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FBFF); RUNTEST 10 TCK; -SDR 16 TDI (9FFE); +SDR 16 TDI (BFFE); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -13187,7 +13187,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BBFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; @@ -13197,7 +13197,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (9FFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -13207,7 +13207,7 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FFFD); +SDR 16 TDI (FFFB); RUNTEST 10 TCK; SDR 16 TDI (77FF); RUNTEST 10 TCK; @@ -13215,13 +13215,13 @@ SDR 16 TDI (FFFF); RUNTEST 10 TCK; SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (FEEE); +SDR 16 TDI (FECE); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (BDFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; -SDR 16 TDI (BFEE); +SDR 16 TDI (BFEF); RUNTEST 10 TCK; SDR 16 TDI (FFFD); RUNTEST 10 TCK; @@ -13235,7 +13235,7 @@ SDR 16 TDI (DDDF); RUNTEST 10 TCK; SDR 16 TDI (7FFF); RUNTEST 10 TCK; -SDR 16 TDI (77BB); +SDR 16 TDI (FFBB); RUNTEST 10 TCK; SDR 16 TDI (BECE); RUNTEST 10 TCK; @@ -13245,7 +13245,7 @@ SDR 16 TDI (7B9B); RUNTEST 10 TCK; SDR 16 TDI (FF77); RUNTEST 10 TCK; -SDR 16 TDI (8FFF); +SDR 16 TDI (AFFF); RUNTEST 10 TCK; SDR 16 TDI (FCDC); RUNTEST 10 TCK; @@ -13269,7 +13269,7 @@ SDR 16 TDI (7FFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; -SDR 16 TDI (9FFF); +SDR 16 TDI (BFFF); RUNTEST 10 TCK; SDR 16 TDI (FFFF); RUNTEST 10 TCK; @@ -14995,9 +14995,9 @@ SDR 16 TDI (FFFF) TDO (BBBF); SDR 16 TDI (FFFF) TDO (BFEE); SDR 16 TDI (FFFF) TDO (EFFD); SDR 16 TDI (FFFF) TDO (7BBB); -SDR 16 TDI (FFFF) TDO (FFF3); +SDR 16 TDI (FFFF) TDO (FFF2); SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (DDED); +SDR 16 TDI (FFFF) TDO (DDFD); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (BBBF); SDR 16 TDI (FFFF) TDO (BEEF); @@ -15011,9 +15011,9 @@ SDR 16 TDI (FFFF) TDO (BBFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (B9FF); -SDR 16 TDI (FFFF) TDO (EE7F); +SDR 16 TDI (FFFF) TDO (EE6F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFC); @@ -15028,8 +15028,8 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFE7); -SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (AFE7); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -15060,8 +15060,8 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (A9FF); -SDR 16 TDI (FFFF) TDO (EE7F); +SDR 16 TDI (FFFF) TDO (B9FF); +SDR 16 TDI (FFFF) TDO (EE5F); SDR 16 TDI (FFFF) TDO (7EFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFD); @@ -15111,7 +15111,7 @@ SDR 16 TDI (FFFF) TDO (FFFB); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFEF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -15127,7 +15127,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FBFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BBFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -15143,8 +15143,8 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -15159,8 +15159,8 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFEF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -15172,11 +15172,11 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (BFBF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7EFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFF7); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -15191,8 +15191,8 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -15527,8 +15527,8 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FDFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -15543,7 +15543,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFF7); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -15560,7 +15560,7 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEEF); +SDR 16 TDI (FFFF) TDO (BFEF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -15575,16 +15575,16 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FEFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FBBF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFBF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -15603,7 +15603,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7EFF); @@ -15616,7 +15616,7 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -15629,13 +15629,13 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -16479,7 +16479,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FF7); @@ -16489,23 +16489,23 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (FF7B); +SDR 16 TDI (FFFF) TDO (FFEB); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7FFE); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7EFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (DFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -16521,23 +16521,23 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7EFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFB); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (DFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -16553,7 +16553,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7EFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFB); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -16601,7 +16601,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFD); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (A55F); @@ -16730,7 +16730,7 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (67FF); +SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -16762,7 +16762,7 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (B7FF); SDR 16 TDI (FFFF) TDO (FFF5); -SDR 16 TDI (FFFF) TDO (79FF); +SDR 16 TDI (FFFF) TDO (69FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -16777,7 +16777,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (B55F); @@ -16793,7 +16793,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (FFF4); SDR 16 TDI (FFFF) TDO (7BFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -16809,7 +16809,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -16857,7 +16857,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -16887,8 +16887,8 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (BFEF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -16928,13 +16928,13 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFBE); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFD); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -16947,10 +16947,10 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (BBFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -16960,11 +16960,11 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7EFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -16977,12 +16977,12 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FBFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFE); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -17015,8 +17015,8 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFEF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -17031,8 +17031,8 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFF7); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -17096,8 +17096,8 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FDF5); SDR 16 TDI (FFFF) TDO (69FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (ABBF); @@ -17111,9 +17111,9 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (DFFE); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (FF7E); SDR 16 TDI (FFFF) TDO (75FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -17127,9 +17127,9 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FDFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -17159,9 +17159,9 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFEF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FBFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BAAF); @@ -17175,7 +17175,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFE); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFE); SDR 16 TDI (FFFF) TDO (77FF); @@ -17191,9 +17191,9 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FCFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (A55F); @@ -17207,9 +17207,9 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFE); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (CFCF); +SDR 16 TDI (FFFF) TDO (B787); +SDR 16 TDI (FFFF) TDO (FC75); SDR 16 TDI (FFFF) TDO (69FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); @@ -17223,9 +17223,9 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFD); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (CFCD); +SDR 16 TDI (FFFF) TDO (BF87); +SDR 16 TDI (FFFF) TDO (FC7F); SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (B55F); @@ -17239,9 +17239,9 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (CFCF); +SDR 16 TDI (FFFF) TDO (BF87); +SDR 16 TDI (FFFF) TDO (F875); SDR 16 TDI (FFFF) TDO (6BFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -17255,9 +17255,9 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (CFCF); +SDR 16 TDI (FFFF) TDO (BF87); +SDR 16 TDI (FFFF) TDO (F87F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -17271,8 +17271,8 @@ SDR 16 TDI (FFFF) TDO (7999); SDR 16 TDI (FFFF) TDO (B31F); SDR 16 TDI (FFFF) TDO (3333); SDR 16 TDI (FFFF) TDO (67CC); -SDR 16 TDI (FFFF) TDO (CCC7); -SDR 16 TDI (FFFF) TDO (B999); +SDR 16 TDI (FFFF) TDO (ECE7); +SDR 16 TDI (FFFF) TDO (B9B9); SDR 16 TDI (FFFF) TDO (99FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -17287,9 +17287,9 @@ SDR 16 TDI (FFFF) TDO (7BBB); SDR 16 TDI (FFFF) TDO (B74A); SDR 16 TDI (FFFF) TDO (BBBB); SDR 16 TDI (FFFF) TDO (77DD); -SDR 16 TDI (FFFF) TDO (DDD2); -SDR 16 TDI (FFFF) TDO (B5DD); -SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (CDCA); +SDR 16 TDI (FFFF) TDO (B59D); +SDR 16 TDI (FFFF) TDO (D9FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -17304,7 +17304,7 @@ SDR 16 TDI (FFFF) TDO (BFFE); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (B7F7); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -17319,25 +17319,25 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BDFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFB); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (BEFE); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FB7F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); @@ -17351,9 +17351,9 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FF7F); SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); @@ -17363,7 +17363,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -17376,27 +17376,23 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFF7); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF7); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -17407,34 +17403,30 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (BFF7); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF7); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7EFD); +SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFF7); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (AFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FEF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -17446,18 +17438,26 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7EFE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (DFDF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FFCF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFBB); +SDR 16 TDI (FFFF) TDO (6FFE); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -17469,19 +17469,15 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (77FB); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (FBDF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -17489,113 +17485,121 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFEF); +SDR 16 TDI (FFFF) TDO (BEFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (FFAF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (A55F); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (BFFD); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7F7D); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FD7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDF5); -SDR 16 TDI (FFFF) TDO (F375); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BBBB); +SDR 16 TDI (FFFF) TDO (BFF4); SDR 16 TDI (FFFF) TDO (75FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (6EEF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (6FF7); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (FAFF); SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (A55F); +SDR 16 TDI (FFFF) TDO (BFF5); +SDR 16 TDI (FFFF) TDO (7FBB); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (F7F4); +SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (AAAF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFDB); +SDR 16 TDI (FFFF) TDO (BFFD); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7F7D); -SDR 16 TDI (FFFF) TDO (EFDF); -SDR 16 TDI (FFFF) TDO (BF5F); -SDR 16 TDI (FFFF) TDO (DF74); -SDR 16 TDI (FFFF) TDO (75FF); +SDR 16 TDI (FFFF) TDO (777F); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AAAF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BF5F); +SDR 16 TDI (FFFF) TDO (5F7F); +SDR 16 TDI (FFFF) TDO (79FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BDFD); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FDD); +SDR 16 TDI (FFFF) TDO (7F7D); +SDR 16 TDI (FFFF) TDO (F6DF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7BEF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FDF); SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFD8); +SDR 16 TDI (FFFF) TDO (6FF5); +SDR 16 TDI (FFFF) TDO (B7AF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -17603,189 +17607,185 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FED); -SDR 16 TDI (FFFF) TDO (DEFF); -SDR 16 TDI (FFFF) TDO (BBDF); -SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (9FEF); +SDR 16 TDI (FFFF) TDO (B9C9); +SDR 16 TDI (FFFF) TDO (CD7F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BAFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (777B); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (BFFD); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7B6D); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (BFED); -SDR 16 TDI (FFFF) TDO (E9EE); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFEE); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (3FF7); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (4E59); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (3FFF); +SDR 16 TDI (FFFF) TDO (64F7); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7F97); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BCF7); -SDR 16 TDI (FFFF) TDO (F67F); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (A55F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BEBE); +SDR 16 TDI (FFFF) TDO (BAFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (A55C); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (67CB); +SDR 16 TDI (FFFF) TDO (F843); +SDR 16 TDI (FFFF) TDO (BFFC); +SDR 16 TDI (FFFF) TDO (3FEF); +SDR 16 TDI (FFFF) TDO (7E79); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFE); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7F4A); -SDR 16 TDI (FFFF) TDO (0C0F); -SDR 16 TDI (FFFF) TDO (B000); -SDR 16 TDI (FFFF) TDO (0075); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (0FCF); +SDR 16 TDI (FFFF) TDO (B021); +SDR 16 TDI (FFFF) TDO (0875); SDR 16 TDI (FFFF) TDO (6BFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FBF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (AFFC); +SDR 16 TDI (FFFF) TDO (FFC2); +SDR 16 TDI (FFFF) TDO (66F8); +SDR 16 TDI (FFFF) TDO (B801); +SDR 16 TDI (FFFF) TDO (BFFC); +SDR 16 TDI (FFFF) TDO (3FDF); +SDR 16 TDI (FFFF) TDO (6061); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFD); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7F40); -SDR 16 TDI (FFFF) TDO (0C0F); -SDR 16 TDI (FFFF) TDO (B828); -SDR 16 TDI (FFFF) TDO (007F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (0FCF); +SDR 16 TDI (FFFF) TDO (A821); +SDR 16 TDI (FFFF) TDO (087F); SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (B55F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFF2); +SDR 16 TDI (FFFF) TDO (6FE1); +SDR 16 TDI (FFFF) TDO (3FFB); +SDR 16 TDI (FFFF) TDO (BFFC); +SDR 16 TDI (FFFF) TDO (3FFF); +SDR 16 TDI (FFFF) TDO (7601); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7F00); -SDR 16 TDI (FFFF) TDO (0C0F); +SDR 16 TDI (FFFF) TDO (1FCF); SDR 16 TDI (FFFF) TDO (B880); SDR 16 TDI (FFFF) TDO (00F5); SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFF3); +SDR 16 TDI (FFFF) TDO (7FF9); +SDR 16 TDI (FFFF) TDO (3FFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (3FFF); +SDR 16 TDI (FFFF) TDO (7001); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7F00); -SDR 16 TDI (FFFF) TDO (0C0F); +SDR 16 TDI (FFFF) TDO (1FCF); SDR 16 TDI (FFFF) TDO (B880); -SDR 16 TDI (FFFF) TDO (483F); +SDR 16 TDI (FFFF) TDO (007F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (3333); -SDR 16 TDI (FFFF) TDO (63E6); -SDR 16 TDI (FFFF) TDO (6667); SDR 16 TDI (FFFF) TDO (B333); -SDR 16 TDI (FFFF) TDO (31F3); -SDR 16 TDI (FFFF) TDO (6666); +SDR 16 TDI (FFFF) TDO (63F6); +SDR 16 TDI (FFFF) TDO (6E67); +SDR 16 TDI (FFFF) TDO (B330); +SDR 16 TDI (FFFF) TDO (B1F3); +SDR 16 TDI (FFFF) TDO (6E28); SDR 16 TDI (FFFF) TDO (7999); SDR 16 TDI (FFFF) TDO (B31F); SDR 16 TDI (FFFF) TDO (3333); -SDR 16 TDI (FFFF) TDO (6788); -SDR 16 TDI (FFFF) TDO (ECE7); -SDR 16 TDI (FFFF) TDO (B98B); -SDR 16 TDI (FFFF) TDO (897C); +SDR 16 TDI (FFFF) TDO (67CC); +SDR 16 TDI (FFFF) TDO (CCE7); +SDR 16 TDI (FFFF) TDO (B988); +SDR 16 TDI (FFFF) TDO (8B7C); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7777); -SDR 16 TDI (FFFF) TDO (6957); -SDR 16 TDI (FFFF) TDO (7777); +SDR 16 TDI (FFFF) TDO (3777); +SDR 16 TDI (FFFF) TDO (6147); +SDR 16 TDI (FFFF) TDO (6667); SDR 16 TDI (FFFF) TDO (B777); -SDR 16 TDI (FFFF) TDO (74AB); -SDR 16 TDI (FFFF) TDO (7777); +SDR 16 TDI (FFFF) TDO (36AB); +SDR 16 TDI (FFFF) TDO (6767); SDR 16 TDI (FFFF) TDO (7BBB); SDR 16 TDI (FFFF) TDO (B74A); SDR 16 TDI (FFFF) TDO (BBBB); SDR 16 TDI (FFFF) TDO (77DD); -SDR 16 TDI (FFFF) TDO (CCCA); -SDR 16 TDI (FFFF) TDO (B4D9); -SDR 16 TDI (FFFF) TDO (D9FB); +SDR 16 TDI (FFFF) TDO (9DCA); +SDR 16 TDI (FFFF) TDO (B4DD); +SDR 16 TDI (FFFF) TDO (D8FB); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7BDF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFFD); SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FED); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFE); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (7B5F); +SDR 16 TDI (FFFF) TDO (FF7F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFD); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (7FEE); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FB); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DDFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (FBDF); +SDR 16 TDI (FFFF) TDO (BDEE); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); @@ -17793,41 +17793,45 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BF7); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (F6FF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7F7); +SDR 16 TDI (FFFF) TDO (6FF7); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7DDF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -17840,72 +17844,68 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BEFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (F7EF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FEBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7EEE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7EFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFB); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (77F7); +SDR 16 TDI (FFFF) TDO (FFFB); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7BDF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BEFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (BFFB); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (DFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7EEF); +SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EB7F); +SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -17913,369 +17913,365 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7EFF); SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFEB); +SDR 16 TDI (FFFF) TDO (FFFB); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7F5F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BDF7); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FDB); +SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFDD); +SDR 16 TDI (FFFF) TDO (EFDD); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (D7FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (DFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (DFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (A55F); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (A55F); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (6FFE); +SDR 16 TDI (FFFF) TDO (DFBD); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFF7); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFF4); SDR 16 TDI (FFFF) TDO (75FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (7FFB); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (77FD); +SDR 16 TDI (FFFF) TDO (DFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (FAFF); SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (A55F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BF7); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (F6F7); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF7); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDF5); +SDR 16 TDI (FFFF) TDO (DFF4); SDR 16 TDI (FFFF) TDO (79FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AAFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7F77); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EBBF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (F6FB); +SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FB7E); -SDR 16 TDI (FFFF) TDO (6DFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (EAFE); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (EAFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (6FEF); +SDR 16 TDI (FFFF) TDO (7DEF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFBF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BDFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (AF5A); +SDR 16 TDI (FFFF) TDO (6FEB); +SDR 16 TDI (FFFF) TDO (EFAB); +SDR 16 TDI (FFFF) TDO (A3FF); +SDR 16 TDI (FFFF) TDO (E3BF); +SDR 16 TDI (FFFF) TDO (67FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (EFE7); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FC7F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BAAF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (F4FF); +SDR 16 TDI (FFFF) TDO (77F7); +SDR 16 TDI (FFFF) TDO (13FF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FF7); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BBFD); +SDR 16 TDI (FFFF) TDO (DBFF); +SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBFE); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5BB7); +SDR 16 TDI (FFFF) TDO (7FFC); +SDR 16 TDI (FFFF) TDO (FD54); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FEF7); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (DFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FCFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (A55F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (A55C); +SDR 16 TDI (FFFF) TDO (3803); +SDR 16 TDI (FFFF) TDO (6FC8); +SDR 16 TDI (FFFF) TDO (08E3); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FBEC); +SDR 16 TDI (FFFF) TDO (67FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFE); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (FC74); +SDR 16 TDI (FFFF) TDO (7FF3); +SDR 16 TDI (FFFF) TDO (CC3F); +SDR 16 TDI (FFFF) TDO (B618); +SDR 16 TDI (FFFF) TDO (24F5); SDR 16 TDI (FFFF) TDO (75FF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FBF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFC); +SDR 16 TDI (FFFF) TDO (32C3); +SDR 16 TDI (FFFF) TDO (6FA8); +SDR 16 TDI (FFFF) TDO (6803); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FF7C); +SDR 16 TDI (FFFF) TDO (67FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFD); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FC7F); -SDR 16 TDI (FFFF) TDO (6DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B55F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FF3); +SDR 16 TDI (FFFF) TDO (CC3F); +SDR 16 TDI (FFFF) TDO (BE18); +SDR 16 TDI (FFFF) TDO (207E); +SDR 16 TDI (FFFF) TDO (75FF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F875); -SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (B55C); +SDR 16 TDI (FFFF) TDO (E40F); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (35E3); +SDR 16 TDI (FFFF) TDO (B3FF); +SDR 16 TDI (FFFF) TDO (F3FC); +SDR 16 TDI (FFFF) TDO (7FE1); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF3); +SDR 16 TDI (FFFF) TDO (CC37); +SDR 16 TDI (FFFF) TDO (BE18); +SDR 16 TDI (FFFF) TDO (2075); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFC); +SDR 16 TDI (FFFF) TDO (C243); +SDR 16 TDI (FFFF) TDO (7FF0); +SDR 16 TDI (FFFF) TDO (712F); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFC); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (1FFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF3); +SDR 16 TDI (FFFF) TDO (CC3F); +SDR 16 TDI (FFFF) TDO (BE18); +SDR 16 TDI (FFFF) TDO (22AF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F87E); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (3333); -SDR 16 TDI (FFFF) TDO (63E6); -SDR 16 TDI (FFFF) TDO (6667); -SDR 16 TDI (FFFF) TDO (B333); -SDR 16 TDI (FFFF) TDO (31F3); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (3BBB); +SDR 16 TDI (FFFF) TDO (63EE); +SDR 16 TDI (FFFF) TDO (27E7); +SDR 16 TDI (FFFF) TDO (BB33); +SDR 16 TDI (FFFF) TDO (3BF3); SDR 16 TDI (FFFF) TDO (6666); SDR 16 TDI (FFFF) TDO (7999); SDR 16 TDI (FFFF) TDO (B31F); SDR 16 TDI (FFFF) TDO (3333); SDR 16 TDI (FFFF) TDO (67CC); -SDR 16 TDI (FFFF) TDO (CCC7); -SDR 16 TDI (FFFF) TDO (B999); -SDR 16 TDI (FFFF) TDO (9BFF); +SDR 16 TDI (FFFF) TDO (CECF); +SDR 16 TDI (FFFF) TDO (B9BB); +SDR 16 TDI (FFFF) TDO (D17F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7777); -SDR 16 TDI (FFFF) TDO (6957); -SDR 16 TDI (FFFF) TDO (7777); -SDR 16 TDI (FFFF) TDO (B777); -SDR 16 TDI (FFFF) TDO (74AB); -SDR 16 TDI (FFFF) TDO (7777); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (7333); +SDR 16 TDI (FFFF) TDO (6947); +SDR 16 TDI (FFFF) TDO (3677); +SDR 16 TDI (FFFF) TDO (B377); +SDR 16 TDI (FFFF) TDO (70A3); +SDR 16 TDI (FFFF) TDO (7766); SDR 16 TDI (FFFF) TDO (7BBB); SDR 16 TDI (FFFF) TDO (B74A); SDR 16 TDI (FFFF) TDO (BBBB); -SDR 16 TDI (FFFF) TDO (77DD); -SDR 16 TDI (FFFF) TDO (DDD2); -SDR 16 TDI (FFFF) TDO (B5DD); -SDR 16 TDI (FFFF) TDO (D9FF); +SDR 16 TDI (FFFF) TDO (77DC); +SDR 16 TDI (FFFF) TDO (CCCA); +SDR 16 TDI (FFFF) TDO (B199); +SDR 16 TDI (FFFF) TDO (99FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (77DE); +SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFE); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (3737); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFB); +SDR 16 TDI (FFFF) TDO (EFFE); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FBFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFEF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (ABF7); +SDR 16 TDI (FFFF) TDO (7FEE); +SDR 16 TDI (FFFF) TDO (DEEF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FDF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (6FFD); +SDR 16 TDI (FFFF) TDO (EFFE); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (7FEF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (7EFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -18296,34 +18292,34 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BDFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFE); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFE); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FFBF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -18336,69 +18332,45 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFD); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFB); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFB); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFBF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (6FFE); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BF7F); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7EFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FBFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (5EFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (A55F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (6FFD); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -18408,15 +18380,11 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBDF); -SDR 16 TDI (FFFF) TDO (FFF5); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FDFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -18425,13 +18393,13 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (75FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (A55F); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFBF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (A55F); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (6FEB); +SDR 16 TDI (FFFF) TDO (7BDD); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -18442,34 +18410,46 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFF5); -SDR 16 TDI (FFFF) TDO (65FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AAAF); +SDR 16 TDI (FFFF) TDO (75FF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFE); +SDR 16 TDI (FFFF) TDO (FFFA); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F7F7); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (E7FF); +SDR 16 TDI (FFFF) TDO (7FFB); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFE); SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (A55E); +SDR 16 TDI (FFFF) TDO (F6FF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FCFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (7FFB); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (65FF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AAAF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (BFB7); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -18478,23 +18458,31 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBEB); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (F7FB); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FF7); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBDF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -18504,29 +18492,45 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF9F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (33EF); +SDR 16 TDI (FFFF) TDO (7FF5); +SDR 16 TDI (FFFF) TDO (E37F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BAAF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (BAAC); +SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (7FEB); +SDR 16 TDI (FFFF) TDO (3E8F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B9FF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFE); SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FE7B); +SDR 16 TDI (FFFF) TDO (67FF); +SDR 16 TDI (FFFF) TDO (DDF1); +SDR 16 TDI (FFFF) TDO (BFFC); +SDR 16 TDI (FFFF) TDO (E3FF); +SDR 16 TDI (FFFF) TDO (7FED); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -18535,144 +18539,140 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (A55F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FDF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFC3); -SDR 16 TDI (FFFF) TDO (FFE3); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (A55C); +SDR 16 TDI (FFFF) TDO (8D40); +SDR 16 TDI (FFFF) TDO (67DF); +SDR 16 TDI (FFFF) TDO (DE05); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (13EF); +SDR 16 TDI (FFFF) TDO (7FF9); +SDR 16 TDI (FFFF) TDO (FFE1); SDR 16 TDI (FFFF) TDO (BFFE); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FCFF); -SDR 16 TDI (FFFF) TDO (B667); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); SDR 16 TDI (FFFF) TDO (FFF5); SDR 16 TDI (FFFF) TDO (69FF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FBF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFC3); -SDR 16 TDI (FFFF) TDO (FFD3); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (AFFC); +SDR 16 TDI (FFFF) TDO (8D43); +SDR 16 TDI (FFFF) TDO (67A5); +SDR 16 TDI (FFFF) TDO (5605); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (83DF); +SDR 16 TDI (FFFF) TDO (7FE1); +SDR 16 TDI (FFFF) TDO (FFE1); SDR 16 TDI (FFFF) TDO (BFFD); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FCFF); -SDR 16 TDI (FFFF) TDO (AE67); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B55F); +SDR 16 TDI (FFFF) TDO (B55E); +SDR 16 TDI (FFFF) TDO (C013); +SDR 16 TDI (FFFF) TDO (7FE0); +SDR 16 TDI (FFFF) TDO (0C0F); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (1FE1); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFC3); -SDR 16 TDI (FFFF) TDO (FFF3); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (9FFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FCFF); -SDR 16 TDI (FFFF) TDO (B87F); SDR 16 TDI (FFFF) TDO (FFF5); SDR 16 TDI (FFFF) TDO (6BFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (4013); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (0F07); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (E7FF); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (1FE1); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFC3); -SDR 16 TDI (FFFF) TDO (FFF3); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (9FFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FCFF); -SDR 16 TDI (FFFF) TDO (B87F); -SDR 16 TDI (FFFF) TDO (FFBD); +SDR 16 TDI (FFFF) TDO (FFFD); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (3333); -SDR 16 TDI (FFFF) TDO (63E6); -SDR 16 TDI (FFFF) TDO (6667); -SDR 16 TDI (FFFF) TDO (B33B); -SDR 16 TDI (FFFF) TDO (31F7); -SDR 16 TDI (FFFF) TDO (6666); -SDR 16 TDI (FFFF) TDO (F999); +SDR 16 TDI (FFFF) TDO (9B33); +SDR 16 TDI (FFFF) TDO (73E9); +SDR 16 TDI (FFFF) TDO (E66F); +SDR 16 TDI (FFFF) TDO (B333); +SDR 16 TDI (FFFF) TDO (B9F3); +SDR 16 TDI (FFFF) TDO (666E); +SDR 16 TDI (FFFF) TDO (F99D); SDR 16 TDI (FFFF) TDO (B31F); SDR 16 TDI (FFFF) TDO (3333); SDR 16 TDI (FFFF) TDO (67CC); -SDR 16 TDI (FFFF) TDO (CEC7); -SDR 16 TDI (FFFF) TDO (BA39); +SDR 16 TDI (FFFF) TDO (CCC7); +SDR 16 TDI (FFFF) TDO (B999); SDR 16 TDI (FFFF) TDO (99FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7777); -SDR 16 TDI (FFFF) TDO (6957); -SDR 16 TDI (FFFF) TDO (7777); -SDR 16 TDI (FFFF) TDO (B773); -SDR 16 TDI (FFFF) TDO (76A3); -SDR 16 TDI (FFFF) TDO (7776); -SDR 16 TDI (FFFF) TDO (7BBB); -SDR 16 TDI (FFFF) TDO (B74A); +SDR 16 TDI (FFFF) TDO (2377); +SDR 16 TDI (FFFF) TDO (6546); +SDR 16 TDI (FFFF) TDO (7727); +SDR 16 TDI (FFFF) TDO (B777); +SDR 16 TDI (FFFF) TDO (30AB); +SDR 16 TDI (FFFF) TDO (7766); +SDR 16 TDI (FFFF) TDO (7BB9); +SDR 16 TDI (FFFF) TDO (B76A); SDR 16 TDI (FFFF) TDO (BBBB); SDR 16 TDI (FFFF) TDO (77DD); -SDR 16 TDI (FFFF) TDO (DCDA); -SDR 16 TDI (FFFF) TDO (B19D); -SDR 16 TDI (FFFF) TDO (DDFD); +SDR 16 TDI (FFFF) TDO (DDD2); +SDR 16 TDI (FFFF) TDO (B5DD); +SDR 16 TDI (FFFF) TDO (DDFB); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFBB); +SDR 16 TDI (FFFF) TDO (7FDD); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFEF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFEF); SDR 16 TDI (FFFF) TDO (BFFE); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B77F); -SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FF77); +SDR 16 TDI (FFFF) TDO (6FFD); +SDR 16 TDI (FFFF) TDO (7F77); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFB); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DEEE); +SDR 16 TDI (FFFF) TDO (7FF7); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (BFF7); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -18680,15 +18680,15 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7FEA); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFF7); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -18701,19 +18701,19 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (DFFB); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFB); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -18722,28 +18722,24 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFD); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (7F77); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFEF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -18753,13 +18749,17 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (FBDF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7FEE); +SDR 16 TDI (FFFF) TDO (FFFD); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7EFD); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -18768,90 +18768,86 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFB); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFE); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BB5E); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFEF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FEF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BFF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AEFB); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7F3F); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (6FBF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B7AE); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFBF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (B77F); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FDFB); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFBF); -SDR 16 TDI (FFFF) TDO (F7FB); -SDR 16 TDI (FFFF) TDO (77BF); -SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -18859,35 +18855,39 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (FF6E); +SDR 16 TDI (FFFF) TDO (7FFA); +SDR 16 TDI (FFFF) TDO (BAFB); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FBF6); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (A77F); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (77EF); +SDR 16 TDI (FFFF) TDO (EFEF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B7F7); -SDR 16 TDI (FFFF) TDO (7FBF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FDEF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFBF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -18895,31 +18895,27 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (ABFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (BDFD); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FB6); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FDBF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBEF); -SDR 16 TDI (FFFF) TDO (7BF7); -SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FABE); +SDR 16 TDI (FFFF) TDO (77EB); +SDR 16 TDI (FFFF) TDO (AABB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (DBBF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -18928,161 +18924,165 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BD7E); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (BFDB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFBF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFA6); +SDR 16 TDI (FFFF) TDO (7FFA); +SDR 16 TDI (FFFF) TDO (FBBB); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (BFF6); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (77DE); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (FE9D); -SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (CFFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFBF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BABF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BC6D); -SDR 16 TDI (FFFF) TDO (3B77); -SDR 16 TDI (FFFF) TDO (7F6D); -SDR 16 TDI (FFFF) TDO (1FFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (DFBF); -SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (A3BF); -SDR 16 TDI (FFFF) TDO (F7FB); -SDR 16 TDI (FFFF) TDO (7FBB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F85D); +SDR 16 TDI (FFFF) TDO (67E5); +SDR 16 TDI (FFFF) TDO (2475); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (E3F7); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (A55F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (A423); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (7E85); +SDR 16 TDI (FFFF) TDO (CEFC); +SDR 16 TDI (FFFF) TDO (65CC); +SDR 16 TDI (FFFF) TDO (422B); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFE); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FF3); -SDR 16 TDI (FFFF) TDO (C82F); -SDR 16 TDI (FFFF) TDO (B661); -SDR 16 TDI (FFFF) TDO (81FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FBF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (A020); -SDR 16 TDI (FFFF) TDO (3FFF); -SDR 16 TDI (FFFF) TDO (7E00); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (CFFC); +SDR 16 TDI (FFFF) TDO (67AC); +SDR 16 TDI (FFFF) TDO (6021); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFD); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FF3); -SDR 16 TDI (FFFF) TDO (C22F); -SDR 16 TDI (FFFF) TDO (BE61); -SDR 16 TDI (FFFF) TDO (81DF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (B55F); +SDR 16 TDI (FFFF) TDO (CFFC); +SDR 16 TDI (FFFF) TDO (67F4); +SDR 16 TDI (FFFF) TDO (7DDF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (A06F); -SDR 16 TDI (FFFF) TDO (F3FC); -SDR 16 TDI (FFFF) TDO (6165); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (CFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (CFF4); +SDR 16 TDI (FFFF) TDO (77F1); +SDR 16 TDI (FFFF) TDO (7BCD); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FF3); -SDR 16 TDI (FFFF) TDO (C427); -SDR 16 TDI (FFFF) TDO (BE61); -SDR 16 TDI (FFFF) TDO (81FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (A02F); -SDR 16 TDI (FFFF) TDO (F3FC); -SDR 16 TDI (FFFF) TDO (61E1); -SDR 16 TDI (FFFF) TDO (3FFF); +SDR 16 TDI (FFFF) TDO (CFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FF3); -SDR 16 TDI (FFFF) TDO (C22F); -SDR 16 TDI (FFFF) TDO (BE61); -SDR 16 TDI (FFFF) TDO (81DF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (3333); -SDR 16 TDI (FFFF) TDO (63E6); +SDR 16 TDI (FFFF) TDO (3B33); +SDR 16 TDI (FFFF) TDO (63EE); +SDR 16 TDI (FFFF) TDO (EEE7); +SDR 16 TDI (FFFF) TDO (B333); +SDR 16 TDI (FFFF) TDO (31F3); SDR 16 TDI (FFFF) TDO (6666); -SDR 16 TDI (FFFF) TDO (B137); -SDR 16 TDI (FFFF) TDO (39F7); -SDR 16 TDI (FFFF) TDO (6F66); SDR 16 TDI (FFFF) TDO (7999); SDR 16 TDI (FFFF) TDO (B31F); SDR 16 TDI (FFFF) TDO (3333); SDR 16 TDI (FFFF) TDO (67CC); -SDR 16 TDI (FFFF) TDO (E45F); -SDR 16 TDI (FFFF) TDO (B99B); -SDR 16 TDI (FFFF) TDO (9BFF); +SDR 16 TDI (FFFF) TDO (ECC7); +SDR 16 TDI (FFFF) TDO (B999); +SDR 16 TDI (FFFF) TDO (99FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7266); +SDR 16 TDI (FFFF) TDO (6946); +SDR 16 TDI (FFFF) TDO (6677); +SDR 16 TDI (FFFF) TDO (B777); +SDR 16 TDI (FFFF) TDO (74AB); SDR 16 TDI (FFFF) TDO (7777); -SDR 16 TDI (FFFF) TDO (6957); -SDR 16 TDI (FFFF) TDO (7777); -SDR 16 TDI (FFFF) TDO (B233); -SDR 16 TDI (FFFF) TDO (32A3); -SDR 16 TDI (FFFF) TDO (6676); SDR 16 TDI (FFFF) TDO (7BBB); SDR 16 TDI (FFFF) TDO (B74A); SDR 16 TDI (FFFF) TDO (BBBB); -SDR 16 TDI (FFFF) TDO (77DC); -SDR 16 TDI (FFFF) TDO (CCCA); -SDR 16 TDI (FFFF) TDO (B199); -SDR 16 TDI (FFFF) TDO (99BB); -SDR 16 TDI (FFFF) TDO (777F); +SDR 16 TDI (FFFF) TDO (77DD); +SDR 16 TDI (FFFF) TDO (CDD2); +SDR 16 TDI (FFFF) TDO (B5DD); +SDR 16 TDI (FFFF) TDO (DDBB); +SDR 16 TDI (FFFF) TDO (77BF); SDR 16 TDI (FFFF) TDO (FFFB); SDR 16 TDI (FFFF) TDO (B777); SDR 16 TDI (FFFF) TDO (BBBB); @@ -19095,17 +19095,17 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (B9FF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFEF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFE); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F76F); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (F7F7); +SDR 16 TDI (FFFF) TDO (FFF7); SDR 16 TDI (FFFF) TDO (6EEF); SDR 16 TDI (FFFF) TDO (FFDD); SDR 16 TDI (FFFF) TDO (BBBF); @@ -19120,22 +19120,18 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BD7F); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F7DF); -SDR 16 TDI (FFFF) TDO (BEFD); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7EFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FDFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -19144,14 +19140,18 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B7F7); -SDR 16 TDI (FFFF) TDO (B7F9); -SDR 16 TDI (FFFF) TDO (6FBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (7F7F); SDR 16 TDI (FFFF) TDO (BFDF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -19169,17 +19169,17 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FDFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -19189,18 +19189,18 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFE); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B5B7); -SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7EFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F7EF); -SDR 16 TDI (FFFF) TDO (BF3F); +SDR 16 TDI (FFFF) TDO (BFBF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -19214,7 +19214,7 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFD); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -19229,9 +19229,9 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFD); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -19243,17 +19243,17 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DEFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7EFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (DFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -19262,12 +19262,12 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFE); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBF7); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (DEFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7EFF); @@ -19277,16 +19277,16 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFB); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFB); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -19301,7 +19301,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (DFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -19318,7 +19318,7 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7EFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -19336,14 +19336,14 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -19361,13 +19361,13 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (57FF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FD7F); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -19384,7 +19384,7 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -19409,7 +19409,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -19432,9 +19432,9 @@ SDR 16 TDI (FFFF) TDO (AFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (7EEE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -19457,7 +19457,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -19475,19 +19475,19 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FAB7); +SDR 16 TDI (FFFF) TDO (FA97); SDR 16 TDI (FFFF) TDO (AAEF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (ABFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7EAE); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -19499,12 +19499,12 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FF67); +SDR 16 TDI (FFFF) TDO (FF77); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -19527,10 +19527,10 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6FDF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -19551,10 +19551,10 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFC); -SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -19576,9 +19576,13 @@ SDR 16 TDI (FFFF) TDO (BAFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (6EEE); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -19591,23 +19595,19 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFEF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FF9F); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (A7FF); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (7F37); -SDR 16 TDI (FFFF) TDO (3FFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -19624,14 +19624,14 @@ SDR 16 TDI (FFFF) TDO (A55F); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FDF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBCC); -SDR 16 TDI (FFFF) TDO (3EE0); -SDR 16 TDI (FFFF) TDO (6766); -SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFE); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (CFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (B7FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -19643,19 +19643,19 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FAD7); +SDR 16 TDI (FFFF) TDO (FA77); SDR 16 TDI (FFFF) TDO (AFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FBF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFCC); -SDR 16 TDI (FFFF) TDO (3FD5); -SDR 16 TDI (FFFF) TDO (6666); -SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFD); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (CFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -19672,14 +19672,14 @@ SDR 16 TDI (FFFF) TDO (B55F); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFCC); -SDR 16 TDI (FFFF) TDO (3FF9); -SDR 16 TDI (FFFF) TDO (67F8); -SDR 16 TDI (FFFF) TDO (1FFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (CFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -19696,79 +19696,79 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFCC); -SDR 16 TDI (FFFF) TDO (3FF9); -SDR 16 TDI (FFFF) TDO (67F8); -SDR 16 TDI (FFFF) TDO (1FFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (CFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (3333); SDR 16 TDI (FFFF) TDO (63E6); SDR 16 TDI (FFFF) TDO (6667); -SDR 16 TDI (FFFF) TDO (A33B); +SDR 16 TDI (FFFF) TDO (B333); SDR 16 TDI (FFFF) TDO (31F3); -SDR 16 TDI (FFFF) TDO (666E); +SDR 16 TDI (FFFF) TDO (6666); SDR 16 TDI (FFFF) TDO (7999); SDR 16 TDI (FFFF) TDO (B31F); SDR 16 TDI (FFFF) TDO (3333); SDR 16 TDI (FFFF) TDO (67CC); -SDR 16 TDI (FFFF) TDO (ECC7); +SDR 16 TDI (FFFF) TDO (CCC7); SDR 16 TDI (FFFF) TDO (9999); SDR 16 TDI (FFFF) TDO (99E6); SDR 16 TDI (FFFF) TDO (6CCC); SDR 16 TDI (FFFF) TDO (7CCC); SDR 16 TDI (FFFF) TDO (999E); SDR 16 TDI (FFFF) TDO (6666); -SDR 16 TDI (FFFF) TDO (47CC); +SDR 16 TDI (FFFF) TDO (67CC); SDR 16 TDI (FFFF) TDO (CCCF); SDR 16 TDI (FFFF) TDO (A666); SDR 16 TDI (FFFF) TDO (63E6); SDR 16 TDI (FFFF) TDO (6CCC); -SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (FFBF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (7777); SDR 16 TDI (FFFF) TDO (6957); SDR 16 TDI (FFFF) TDO (7777); -SDR 16 TDI (FFFF) TDO (B773); -SDR 16 TDI (FFFF) TDO (36A9); -SDR 16 TDI (FFFF) TDO (6726); +SDR 16 TDI (FFFF) TDO (B777); +SDR 16 TDI (FFFF) TDO (74AB); +SDR 16 TDI (FFFF) TDO (7777); SDR 16 TDI (FFFF) TDO (7BBB); SDR 16 TDI (FFFF) TDO (B74A); SDR 16 TDI (FFFF) TDO (BBBB); SDR 16 TDI (FFFF) TDO (77DD); -SDR 16 TDI (FFFF) TDO (CDD2); +SDR 16 TDI (FFFF) TDO (DDD2); SDR 16 TDI (FFFF) TDO (B5DD); SDR 16 TDI (FFFF) TDO (DDEE); SDR 16 TDI (FFFF) TDO (7DDD); SDR 16 TDI (FFFF) TDO (2AEE); SDR 16 TDI (FFFF) TDO (9DDE); SDR 16 TDI (FFFF) TDO (EEEE); -SDR 16 TDI (FFFF) TDO (52AE); +SDR 16 TDI (FFFF) TDO (72AE); SDR 16 TDI (FFFF) TDO (EEEF); SDR 16 TDI (FFFF) TDO (AEEE); SDR 16 TDI (FFFF) TDO (E957); SDR 16 TDI (FFFF) TDO (6EEE); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FDF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFEF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -19787,19 +19787,19 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FF6F); +SDR 16 TDI (FFFF) TDO (FFBF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -19814,11 +19814,11 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFD); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -19838,11 +19838,11 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFD); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -19854,7 +19854,7 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -19864,65 +19864,65 @@ SDR 16 TDI (FFFF) TDO (8FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9DFF); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (9FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFF7); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FEFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (FFFB); SDR 16 TDI (FFFF) TDO (9FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFD); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFB); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF7); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7EFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7BFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -19935,41 +19935,41 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7EFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BF7F); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (F9FF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (BFFE); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFD); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -19984,13 +19984,13 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7EFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -20003,17 +20003,17 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (5FDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7EFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); @@ -20022,22 +20022,22 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (9FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (5FFB); -SDR 16 TDI (FFFF) TDO (5FEF); -SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FEFE); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); @@ -20046,7 +20046,7 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -20056,11 +20056,11 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FBF); -SDR 16 TDI (FFFF) TDO (DDFF); -SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -20070,7 +20070,7 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -20081,12 +20081,12 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FDFD); -SDR 16 TDI (FFFF) TDO (BBBF); -SDR 16 TDI (FFFF) TDO (F777); -SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -20094,7 +20094,7 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -20104,12 +20104,12 @@ SDR 16 TDI (FFFF) TDO (AFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B6EA); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (6B6B); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); @@ -20118,7 +20118,7 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -20127,13 +20127,13 @@ SDR 16 TDI (FFFF) TDO (FFF7); SDR 16 TDI (FFFF) TDO (A55F); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (BEAD); -SDR 16 TDI (FFFF) TDO (BBFE); -SDR 16 TDI (FFFF) TDO (7BFA); -SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -20152,10 +20152,6 @@ SDR 16 TDI (FFFF) TDO (AAFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (6FEE); -SDR 16 TDI (FFFF) TDO (FDFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -20171,18 +20167,18 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FF47); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FF67); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBBF); -SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FF77); -SDR 16 TDI (FFFF) TDO (AEFF); -SDR 16 TDI (FFFF) TDO (6DE9); -SDR 16 TDI (FFFF) TDO (6BFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -20200,13 +20196,17 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EF5D); -SDR 16 TDI (FFFF) TDO (AABF); -SDR 16 TDI (FFFF) TDO (E55F); -SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -20224,13 +20224,13 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AE7E); -SDR 16 TDI (FFFF) TDO (77F9); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (F5FD); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7F36); -SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -20248,13 +20248,17 @@ SDR 16 TDI (FFFF) TDO (BAFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B1F5); -SDR 16 TDI (FFFF) TDO (9FF7); -SDR 16 TDI (FFFF) TDO (57E6); -SDR 16 TDI (FFFF) TDO (DB53); -SDR 16 TDI (FFFF) TDO (A23F); -SDR 16 TDI (FFFF) TDO (A1EB); -SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -20263,22 +20267,18 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FF5F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FF5F); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (BF8B); -SDR 16 TDI (FFFF) TDO (FBFE); -SDR 16 TDI (FFFF) TDO (49F9); -SDR 16 TDI (FFFF) TDO (2EFE); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (DEDD); -SDR 16 TDI (FFFF) TDO (79FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -20296,13 +20296,13 @@ SDR 16 TDI (FFFF) TDO (A55F); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FDF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF16); -SDR 16 TDI (FFFF) TDO (B2EF); -SDR 16 TDI (FFFF) TDO (401C); -SDR 16 TDI (FFFF) TDO (1C15); -SDR 16 TDI (FFFF) TDO (B02E); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (63FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (B7FF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -20320,12 +20320,12 @@ SDR 16 TDI (FFFF) TDO (AFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FBF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BE9C); -SDR 16 TDI (FFFF) TDO (03DF); -SDR 16 TDI (FFFF) TDO (4808); -SDR 16 TDI (FFFF) TDO (3E95); -SDR 16 TDI (FFFF) TDO (B03D); -SDR 16 TDI (FFFF) TDO (F0E3); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); @@ -20344,13 +20344,13 @@ SDR 16 TDI (FFFF) TDO (B55F); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (A31B); -SDR 16 TDI (FFFF) TDO (3FF1); -SDR 16 TDI (FFFF) TDO (641E); -SDR 16 TDI (FFFF) TDO (1E0A); -SDR 16 TDI (FFFF) TDO (B43F); -SDR 16 TDI (FFFF) TDO (20F6); -SDR 16 TDI (FFFF) TDO (61FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -20368,12 +20368,12 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B31B); -SDR 16 TDI (FFFF) TDO (3FF3); -SDR 16 TDI (FFFF) TDO (6400); -SDR 16 TDI (FFFF) TDO (FE8B); -SDR 16 TDI (FFFF) TDO (A07F); -SDR 16 TDI (FFFF) TDO (3DF2); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -20392,13 +20392,13 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (3333); SDR 16 TDI (FFFF) TDO (63E6); SDR 16 TDI (FFFF) TDO (6667); -SDR 16 TDI (FFFF) TDO (B3B3); -SDR 16 TDI (FFFF) TDO (21F1); -SDR 16 TDI (FFFF) TDO (466E); -SDR 16 TDI (FFFF) TDO (F99D); -SDR 16 TDI (FFFF) TDO (BB1F); -SDR 16 TDI (FFFF) TDO (7737); -SDR 16 TDI (FFFF) TDO (63CC); +SDR 16 TDI (FFFF) TDO (B333); +SDR 16 TDI (FFFF) TDO (31F3); +SDR 16 TDI (FFFF) TDO (4666); +SDR 16 TDI (FFFF) TDO (7999); +SDR 16 TDI (FFFF) TDO (B31F); +SDR 16 TDI (FFFF) TDO (3333); +SDR 16 TDI (FFFF) TDO (67CC); SDR 16 TDI (FFFF) TDO (CCC7); SDR 16 TDI (FFFF) TDO (B999); SDR 16 TDI (FFFF) TDO (99E6); @@ -20416,12 +20416,12 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (7777); SDR 16 TDI (FFFF) TDO (6957); SDR 16 TDI (FFFF) TDO (7777); -SDR 16 TDI (FFFF) TDO (A737); -SDR 16 TDI (FFFF) TDO (36AB); -SDR 16 TDI (FFFF) TDO (5666); -SDR 16 TDI (FFFF) TDO (7BB9); -SDR 16 TDI (FFFF) TDO (B34A); -SDR 16 TDI (FFFF) TDO (33B3); +SDR 16 TDI (FFFF) TDO (B777); +SDR 16 TDI (FFFF) TDO (74AB); +SDR 16 TDI (FFFF) TDO (5777); +SDR 16 TDI (FFFF) TDO (7BBB); +SDR 16 TDI (FFFF) TDO (B74A); +SDR 16 TDI (FFFF) TDO (BBBB); SDR 16 TDI (FFFF) TDO (77DD); SDR 16 TDI (FFFF) TDO (DDD2); SDR 16 TDI (FFFF) TDO (B5DD); @@ -20440,12 +20440,12 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FDF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (4FDF); -SDR 16 TDI (FFFF) TDO (FDDF); -SDR 16 TDI (FFFF) TDO (BFBE); -SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (B7FF); @@ -20461,15 +20461,15 @@ SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FEEF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -20489,11 +20489,11 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -20509,7 +20509,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FDDF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -20537,11 +20537,11 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F77F); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -20556,7 +20556,7 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BBFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -20602,19 +20602,19 @@ SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (BBF7); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6EFF); +SDR 16 TDI (FFFF) TDO (7EFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -20626,7 +20626,7 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -21144,44 +21144,44 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (DFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (DFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (9FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (DFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -21192,12 +21192,12 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -21209,14 +21209,14 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -21230,20 +21230,20 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFF7); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (7FFB); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FF7F); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (FF7F); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); @@ -21253,44 +21253,44 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (5DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7EFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFB); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FF7F); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7EFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7F7F); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -21301,12 +21301,12 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5BFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -21315,28 +21315,28 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFBF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FEFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (FFF7); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -21344,14 +21344,14 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); @@ -21369,69 +21369,69 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFEF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFB); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFB); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DFDF); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFEF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (FFF7); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFE); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -21441,21 +21441,21 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FFD); SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); @@ -21469,7 +21469,7 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); @@ -21484,55 +21484,55 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFE); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (D7FF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BEFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (7FFB); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFE); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BFFE); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (FFFB); SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FEEE); +SDR 16 TDI (FFFF) TDO (FECE); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (BFEE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFEF); SDR 16 TDI (FFFF) TDO (FFFD); SDR 16 TDI (FFFF) TDO (7B3F); SDR 16 TDI (FFFF) TDO (FFF3); SDR 16 TDI (FFFF) TDO (AEFF); SDR 16 TDI (FFFF) TDO (DDDF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (77BB); +SDR 16 TDI (FFFF) TDO (FFBB); SDR 16 TDI (FFFF) TDO (BECE); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7B9B); SDR 16 TDI (FFFF) TDO (FF77); -SDR 16 TDI (FFFF) TDO (8FFF); +SDR 16 TDI (FFFF) TDO (AFFF); SDR 16 TDI (FFFF) TDO (FCDC); SDR 16 TDI (FFFF) TDO (5FF6); SDR 16 TDI (FFFF) TDO (77FF); @@ -21544,7 +21544,7 @@ SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); diff --git a/rtl/pistorm.v b/rtl/pistorm.v index 0b26ffd..2f0fc81 100644 --- a/rtl/pistorm.v +++ b/rtl/pistorm.v @@ -50,7 +50,9 @@ module pistorm( ); wire c200m = PI_CLK; - wire c7m = M68K_CLK; + reg [2:0] c7m_sync; +// wire c7m = M68K_CLK; + wire c7m = c7m_sync[2]; localparam REG_DATA = 2'd0; localparam REG_ADDR_LO = 2'd1; @@ -117,47 +119,8 @@ module pistorm( LTCH_D_RD_OE_n <= !(PI_A == REG_DATA && PI_RD); end - reg [2:0] s1_sync; - reg [2:0] s7_sync; - - always @(posedge c200m) begin - s1_sync <= {s1_sync[1:0], S1}; - s7_sync <= {s7_sync[1:0], S7}; - end - - wire rising_s1 = !s1_sync[2] && s1_sync[1]; - wire rising_s7 = !s7_sync[2] && s7_sync[1]; - reg a0; - always @(posedge c200m) begin - if (rising_s1) - op_req <= 1'b0; - - if (rising_s7) - PI_TXN_IN_PROGRESS <= 1'b0; - - if (wr_rising) begin - case (PI_A) - REG_ADDR_LO: begin - a0 <= PI_D[0]; - PI_TXN_IN_PROGRESS <= 1'b1; - end - REG_ADDR_HI: begin - op_req <= 1'b1; - op_rw <= PI_D[9]; - op_uds_n <= PI_D[8] ? a0 : 1'b0; - op_lds_n <= PI_D[8] ? !a0 : 1'b0; - end - REG_STATUS: begin - status <= PI_D; - end - endcase - end - end - - reg [2:0] c7m_sync; - always @(posedge c200m) begin c7m_sync <= {c7m_sync[1:0], M68K_CLK}; end @@ -201,78 +164,112 @@ module pistorm( M68K_E <= 1'b1; end - reg [1:0] state = 2'd0; - reg wait_req = 1'b1; - reg wait_dtack = 1'b0; - - wire S0 = state == 2'd0 && c7m && !wait_req; - wire Sr = state == 2'd0 && wait_req; - wire S1 = state == 2'd1 && !c7m; - wire S2 = state == 2'd1 && c7m; - wire S3 = state == 2'd2 && !c7m && !wait_dtack; - wire S4 = state == 2'd2 && c7m && !wait_dtack; - wire Sw = state == 2'd2 && wait_dtack; - wire S5 = state == 2'd3 && !c7m; - wire S6 = state == 2'd3 && c7m; - wire S7 = state == 2'd0 && !c7m && !wait_req; - - always @(*) begin - LTCH_A_OE_n <= !(S1 || S2 || S3 || S4 || Sw || S5 || S6 || S7); - LTCH_D_WR_OE_n <= !(!op_rw && (S3 || S4 || Sw || S5 || S6 || S7)); + reg [2:0] state = 3'd0; + reg [2:0] PI_TXN_IN_PROGRESS_delay; - LTCH_D_RD_U <= S7; - LTCH_D_RD_L <= S7; + always @(posedge c200m) begin - M68K_AS_n <= !(S2 || S3 || S4 || Sw || S5 || S6); - M68K_UDS_n <= (op_rw && (S2 || S3)) || (S4 || Sw || S5 || S6) ? op_uds_n : 1'b1; - M68K_LDS_n <= (op_rw && (S2 || S3)) || (S4 || Sw || S5 || S6) ? op_lds_n : 1'b1; - end + if (wr_rising) begin + case (PI_A) + REG_ADDR_LO: begin + a0 <= PI_D[0]; + PI_TXN_IN_PROGRESS <= 1'b1; + end + REG_ADDR_HI: begin + op_req <= 1'b1; + op_rw <= PI_D[9]; + op_uds_n <= PI_D[8] ? a0 : 1'b0; + op_lds_n <= PI_D[8] ? !a0 : 1'b0; + end + REG_STATUS: begin + status <= PI_D; + end + endcase + end - always @(negedge c7m) begin case (state) - 2'd0: begin // S0|Sr -> S1|Sr - if (op_req_sync) begin - wait_req <= 1'b0; - state <= state + 2'd1; + 3'd0: begin // S0 + M68K_RW <= 1'b1; // S7 -> S0 +// if (c7m_falling) begin +// if (op_req) begin + state <= 2'd1; +// end +// end + end + + 3'd1: begin // S1 + if (op_req) begin + if(c7m_rising) begin + state <= 3'd2; + end end - else begin - wait_req <= 1'b1; + end + 3'd2: begin // S2 + M68K_RW <= op_rw; // S1 -> S2 + LTCH_D_WR_OE_n <= op_rw; + LTCH_A_OE_n <= 1'b0; + M68K_AS_n <= 1'b0; + M68K_UDS_n <= op_rw ? op_uds_n : 1'b1; + M68K_LDS_n <= op_rw ? op_lds_n : 1'b1; + if (c7m_falling) begin + M68K_UDS_n <= op_uds_n; + M68K_LDS_n <= op_lds_n; + state <= 3'd3; end end - 2'd1: begin // S2 -> S3 - state <= state + 2'd1; + 3'd3: begin // S3 + op_req <= 1'b0; + if(c7m_rising) begin + if (!M68K_DTACK_n || (!M68K_VMA_n && e_counter == 4'd8)) begin + state <= 3'd4; + PI_TXN_IN_PROGRESS_delay[2:0] <= 3'b111; + end + else begin + if (!M68K_VPA_n && e_counter == 4'd2) begin + M68K_VMA_n <= 1'b0; + end + end + end + end + 3'd4: begin // S4 + PI_TXN_IN_PROGRESS_delay <= {PI_TXN_IN_PROGRESS_delay[1:0],1'b0}; + PI_TXN_IN_PROGRESS <= PI_TXN_IN_PROGRESS_delay[2]; + LTCH_D_RD_U <= 1'b1; + LTCH_D_RD_L <= 1'b1; + if (c7m_falling) begin + state <= 3'd5; + PI_TXN_IN_PROGRESS <= 1'b0; + end end - 2'd2: begin // S4|Sw -> S5|Sw - if (!M68K_DTACK_n || (!M68K_VMA_n && e_counter == 4'd8)) begin - wait_dtack <= 1'b0; - state <= state + 2'd1; + 3'd5: begin // S5 + LTCH_D_RD_U <= 1'b0; + LTCH_D_RD_L <= 1'b0; + if (c7m_rising) begin + state <= 3'd6; end - else begin - if (!M68K_VPA_n && e_counter == 4'd2) begin - M68K_VMA_n <= 1'b0; - end - wait_dtack <= 1'b1; + end + + 3'd6: begin // S6 + if (c7m_falling) begin + M68K_VMA_n <= 1'b1; + state <= 3'd7; end end - - 2'd3: begin // S6 -> S7 - M68K_VMA_n <= 1'b1; - state <= state + 2'd1; + + 3'd7: begin // S7 + LTCH_D_WR_OE_n <= 1'b1; + LTCH_A_OE_n <= 1'b1; + M68K_AS_n <= 1'b1; + M68K_UDS_n <= 1'b1; + M68K_LDS_n <= 1'b1; +// if(c7m_rising) begin +// M68K_RW <= 1'b1; // S7 -> S0 + state <= 3'd0; +// end end endcase end - reg op_req_sync; - - always @(posedge c7m) begin - op_req_sync <= op_req; - - case (state) - 2'd0: M68K_RW <= 1'b1; // S7 -> S0 - 2'd1: M68K_RW <= op_rw; // S1 -> S2 - endcase - end - endmodule -- 2.39.2