]> git.sesse.net Git - vlc/blobdiff - modules/mux/mpjpeg.c
mux: remove return value from sout_mux_t.pf_delstream
[vlc] / modules / mux / mpjpeg.c
index b803f206f5a224f8249655280e105a8777e61bb6..ee0248587531c0e955b7874f37f4d91552dc849e 100644 (file)
@@ -57,7 +57,7 @@ vlc_module_end ()
  *****************************************************************************/
 static int Control  ( sout_mux_t *, int, va_list );
 static int AddStream( sout_mux_t *, sout_input_t * );
-static int DelStream( sout_mux_t *, sout_input_t * );
+static void DelStream( sout_mux_t *, sout_input_t * );
 static int Mux      ( sout_mux_t * );
 
 /* This pseudo-random sequence is unlikely to ever happen */
@@ -136,11 +136,10 @@ static int AddStream( sout_mux_t *p_mux, sout_input_t *p_input )
     return VLC_SUCCESS;
 }
 
-static int DelStream( sout_mux_t *p_mux, sout_input_t *p_input )
+static void DelStream( sout_mux_t *p_mux, sout_input_t *p_input )
 {
     VLC_UNUSED(p_input);
     msg_Dbg( p_mux, "removing input" );
-    return VLC_SUCCESS;
 }
 
 static int Mux( sout_mux_t *p_mux )