]> git.sesse.net Git - pistorm/blobdiff - rtl/EPM240_bitstream.svf
Update readme(s) and Hardware files
[pistorm] / rtl / EPM240_bitstream.svf
diff --git a/rtl/EPM240_bitstream.svf b/rtl/EPM240_bitstream.svf
new file mode 100644 (file)
index 0000000..4177804
--- /dev/null
@@ -0,0 +1,11651 @@
+!Copyright (C) 2020  Intel Corporation. All rights reserved.
+!Your use of Intel Corporation's design tools, logic functions 
+!and other software and tools, and any partner logic 
+!functions, and any output files from any of the foregoing 
+!(including device programming or simulation files), and any 
+!associated documentation or information are expressly subject 
+!to the terms and conditions of the Intel Program License 
+!Subscription Agreement, the Intel Quartus Prime License Agreement,
+!the Intel FPGA IP License Agreement, or other applicable license
+!agreement, including, without limitation, that your use is for
+!the sole purpose of programming logic devices manufactured by
+!Intel and sold by Intel or its authorized distributors.  Please
+!refer to the applicable agreement for further details, at
+!https://fpgasoftware.intel.com/eula.
+!
+!Quartus Prime SVF converter 20.1
+!
+!Device #1: EPM240 - output_files/pistorm.pof Sat Mar 20 19:14:03 2021
+!
+!NOTE "USERCODE" "001874DE";
+!
+!NOTE "CHECKSUM" "001877D6";
+!
+!
+!
+FREQUENCY 1.00E+05 HZ;
+!
+!
+!
+TRST ABSENT;
+ENDDR IDLE;
+ENDIR IRPAUSE;
+STATE IDLE;
+SIR 10 TDI (005);
+RUNTEST IDLE 4 TCK ENDSTATE IDLE;
+SDR 240 TDI (FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF);
+SIR 10 TDI (3FF);
+RUNTEST 103 TCK;
+SIR 10 TDI (2CC);
+RUNTEST 103 TCK;
+!
+!
+!
+!CHECKING SILICON ID
+!
+!
+!
+SIR 10 TDI (203);
+RUNTEST 4 TCK;
+SDR 13 TDI (0089);
+SIR 10 TDI (205);
+RUNTEST 4 TCK;
+SDR 16 TDI (FFFF) TDO (8232) MASK (FFFF);
+SDR 16 TDI (FFFF) TDO (2AA2);
+SDR 16 TDI (FFFF) TDO (4A82);
+SDR 16 TDI (FFFF) TDO (0C2C);
+SDR 16 TDI (FFFF) TDO (0000);
+!
+!
+!
+!BULK ERASE
+!
+!
+!
+SIR 10 TDI (203);
+RUNTEST 4 TCK;
+SDR 13 TDI (0011);
+SIR 10 TDI (2F2);
+RUNTEST 50003 TCK;
+SIR 10 TDI (203);
+RUNTEST 4 TCK;
+SDR 13 TDI (0001);
+SIR 10 TDI (2F2);
+RUNTEST 50003 TCK;
+SIR 10 TDI (203);
+RUNTEST 4 TCK;
+SDR 13 TDI (0000);
+SIR 10 TDI (2F2);
+RUNTEST 50003 TCK;
+!
+!
+!
+!PROGRAM
+!
+!
+!
+SIR 10 TDI (203);
+RUNTEST 4 TCK;
+SDR 13 TDI (0000);
+SIR 10 TDI (2F4);
+RUNTEST 4 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFF7);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (67FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (CEEE);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFB);
+RUNTEST 10 TCK;
+SDR 16 TDI (FF7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFEE);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFF9);
+RUNTEST 10 TCK;
+SDR 16 TDI (733F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B77F);
+RUNTEST 10 TCK;
+SDR 16 TDI (ECCF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (F7BF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BECC);
+RUNTEST 10 TCK;
+SDR 16 TDI (CFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FDDD);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFEE);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFA);
+RUNTEST 10 TCK;
+SDR 16 TDI (AEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (F7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5DBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (6FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFEE);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (B777);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (6FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FDDD);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (6E7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (BF7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (6FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFFB);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFB);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFFB);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FDEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BF7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFB);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (DFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FEEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFB);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (6FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FF7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFB);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (DFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FF7D);
+RUNTEST 10 TCK;
+SDR 16 TDI (6FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (E7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (F7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (DFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF4);
+RUNTEST 10 TCK;
+SDR 16 TDI (7BFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF4);
+RUNTEST 10 TCK;
+SDR 16 TDI (7BFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AAAF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFA);
+RUNTEST 10 TCK;
+SDR 16 TDI (77FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BAAF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEA);
+RUNTEST 10 TCK;
+SDR 16 TDI (77FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF5);
+RUNTEST 10 TCK;
+SDR 16 TDI (6BFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF5);
+RUNTEST 10 TCK;
+SDR 16 TDI (6BFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (3333);
+RUNTEST 10 TCK;
+SDR 16 TDI (63E6);
+RUNTEST 10 TCK;
+SDR 16 TDI (6667);
+RUNTEST 10 TCK;
+SDR 16 TDI (B333);
+RUNTEST 10 TCK;
+SDR 16 TDI (31F3);
+RUNTEST 10 TCK;
+SDR 16 TDI (6666);
+RUNTEST 10 TCK;
+SDR 16 TDI (7CCC);
+RUNTEST 10 TCK;
+SDR 16 TDI (B98F);
+RUNTEST 10 TCK;
+SDR 16 TDI (9999);
+RUNTEST 10 TCK;
+SDR 16 TDI (73CC);
+RUNTEST 10 TCK;
+SDR 16 TDI (CCC7);
+RUNTEST 10 TCK;
+SDR 16 TDI (B999);
+RUNTEST 10 TCK;
+SDR 16 TDI (99FA);
+RUNTEST 10 TCK;
+SDR 16 TDI (77FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (6957);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (B777);
+RUNTEST 10 TCK;
+SDR 16 TDI (74AB);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DDD);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBA5);
+RUNTEST 10 TCK;
+SDR 16 TDI (5DDD);
+RUNTEST 10 TCK;
+SDR 16 TDI (7BDD);
+RUNTEST 10 TCK;
+SDR 16 TDI (DDD2);
+RUNTEST 10 TCK;
+SDR 16 TDI (B5DD);
+RUNTEST 10 TCK;
+SDR 16 TDI (DDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (77FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (DFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (DFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (DDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BABF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FBF7);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (6FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF5);
+RUNTEST 10 TCK;
+SDR 16 TDI (79FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF6);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BF35);
+RUNTEST 10 TCK;
+SDR 16 TDI (76FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (6DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF5);
+RUNTEST 10 TCK;
+SDR 16 TDI (75FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AAAF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFA);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FBAA);
+RUNTEST 10 TCK;
+SDR 16 TDI (76FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (6DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (A7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (DFBB);
+RUNTEST 10 TCK;
+SDR 16 TDI (75FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BAAF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF5);
+RUNTEST 10 TCK;
+SDR 16 TDI (BDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FAD5);
+RUNTEST 10 TCK;
+SDR 16 TDI (7AFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEA);
+RUNTEST 10 TCK;
+SDR 16 TDI (77FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFB);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FD6E);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF2);
+RUNTEST 10 TCK;
+SDR 16 TDI (A417);
+RUNTEST 10 TCK;
+SDR 16 TDI (0660);
+RUNTEST 10 TCK;
+SDR 16 TDI (60FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF5);
+RUNTEST 10 TCK;
+SDR 16 TDI (6BFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF4);
+RUNTEST 10 TCK;
+SDR 16 TDI (BE1E);
+RUNTEST 10 TCK;
+SDR 16 TDI (8670);
+RUNTEST 10 TCK;
+SDR 16 TDI (62FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF1);
+RUNTEST 10 TCK;
+SDR 16 TDI (B21F);
+RUNTEST 10 TCK;
+SDR 16 TDI (87E2);
+RUNTEST 10 TCK;
+SDR 16 TDI (6FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF5);
+RUNTEST 10 TCK;
+SDR 16 TDI (6BFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF0);
+RUNTEST 10 TCK;
+SDR 16 TDI (BE1F);
+RUNTEST 10 TCK;
+SDR 16 TDI (8762);
+RUNTEST 10 TCK;
+SDR 16 TDI (6EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (77FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (3333);
+RUNTEST 10 TCK;
+SDR 16 TDI (63E6);
+RUNTEST 10 TCK;
+SDR 16 TDI (6667);
+RUNTEST 10 TCK;
+SDR 16 TDI (B333);
+RUNTEST 10 TCK;
+SDR 16 TDI (31F3);
+RUNTEST 10 TCK;
+SDR 16 TDI (6666);
+RUNTEST 10 TCK;
+SDR 16 TDI (7CCC);
+RUNTEST 10 TCK;
+SDR 16 TDI (B18F);
+RUNTEST 10 TCK;
+SDR 16 TDI (9899);
+RUNTEST 10 TCK;
+SDR 16 TDI (77CC);
+RUNTEST 10 TCK;
+SDR 16 TDI (CCC7);
+RUNTEST 10 TCK;
+SDR 16 TDI (B999);
+RUNTEST 10 TCK;
+SDR 16 TDI (99FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (77FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (6957);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (B777);
+RUNTEST 10 TCK;
+SDR 16 TDI (74AB);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DDD);
+RUNTEST 10 TCK;
+SDR 16 TDI (BB95);
+RUNTEST 10 TCK;
+SDR 16 TDI (1DD9);
+RUNTEST 10 TCK;
+SDR 16 TDI (73DD);
+RUNTEST 10 TCK;
+SDR 16 TDI (DDD2);
+RUNTEST 10 TCK;
+SDR 16 TDI (B5DD);
+RUNTEST 10 TCK;
+SDR 16 TDI (DDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7BFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (BEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (77BF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (DFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (77FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FB7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EBF7);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7BFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B7BF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FF7);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BDBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FAFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (7BBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (F7FB);
+RUNTEST 10 TCK;
+SDR 16 TDI (B7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF7);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FF7);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B77F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7BF7);
+RUNTEST 10 TCK;
+SDR 16 TDI (E77F);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (777D);
+RUNTEST 10 TCK;
+SDR 16 TDI (7BFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BF3F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A77F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FBBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FDEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DDE);
+RUNTEST 10 TCK;
+SDR 16 TDI (FDDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (DDFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (79FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (ABBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AF7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (BF7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (6FF9);
+RUNTEST 10 TCK;
+SDR 16 TDI (FEBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFBB);
+RUNTEST 10 TCK;
+SDR 16 TDI (BEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF5);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FDFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (77FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (F7FB);
+RUNTEST 10 TCK;
+SDR 16 TDI (B7DD);
+RUNTEST 10 TCK;
+SDR 16 TDI (FEFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FF96);
+RUNTEST 10 TCK;
+SDR 16 TDI (BEDD);
+RUNTEST 10 TCK;
+SDR 16 TDI (BF4B);
+RUNTEST 10 TCK;
+SDR 16 TDI (69F7);
+RUNTEST 10 TCK;
+SDR 16 TDI (F77F);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (777F);
+RUNTEST 10 TCK;
+SDR 16 TDI (77FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BAAF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A3FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FEFC);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FD8);
+RUNTEST 10 TCK;
+SDR 16 TDI (FE9F);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFB);
+RUNTEST 10 TCK;
+SDR 16 TDI (BCEE);
+RUNTEST 10 TCK;
+SDR 16 TDI (77FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (F4FB);
+RUNTEST 10 TCK;
+SDR 16 TDI (BF3F);
+RUNTEST 10 TCK;
+SDR 16 TDI (F9FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (DDFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (DFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (F8F4);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (6008);
+RUNTEST 10 TCK;
+SDR 16 TDI (6F00);
+RUNTEST 10 TCK;
+SDR 16 TDI (000F);
+RUNTEST 10 TCK;
+SDR 16 TDI (B198);
+RUNTEST 10 TCK;
+SDR 16 TDI (0075);
+RUNTEST 10 TCK;
+SDR 16 TDI (69FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FAF0);
+RUNTEST 10 TCK;
+SDR 16 TDI (A19B);
+RUNTEST 10 TCK;
+SDR 16 TDI (E208);
+RUNTEST 10 TCK;
+SDR 16 TDI (6F00);
+RUNTEST 10 TCK;
+SDR 16 TDI (000D);
+RUNTEST 10 TCK;
+SDR 16 TDI (B998);
+RUNTEST 10 TCK;
+SDR 16 TDI (007F);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FF64);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (E069);
+RUNTEST 10 TCK;
+SDR 16 TDI (6908);
+RUNTEST 10 TCK;
+SDR 16 TDI (009F);
+RUNTEST 10 TCK;
+SDR 16 TDI (B998);
+RUNTEST 10 TCK;
+SDR 16 TDI (6075);
+RUNTEST 10 TCK;
+SDR 16 TDI (6BFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FF60);
+RUNTEST 10 TCK;
+SDR 16 TDI (A39F);
+RUNTEST 10 TCK;
+SDR 16 TDI (E068);
+RUNTEST 10 TCK;
+SDR 16 TDI (6900);
+RUNTEST 10 TCK;
+SDR 16 TDI (0C0F);
+RUNTEST 10 TCK;
+SDR 16 TDI (B99E);
+RUNTEST 10 TCK;
+SDR 16 TDI (037F);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (3333);
+RUNTEST 10 TCK;
+SDR 16 TDI (63E6);
+RUNTEST 10 TCK;
+SDR 16 TDI (6667);
+RUNTEST 10 TCK;
+SDR 16 TDI (B333);
+RUNTEST 10 TCK;
+SDR 16 TDI (31F3);
+RUNTEST 10 TCK;
+SDR 16 TDI (6666);
+RUNTEST 10 TCK;
+SDR 16 TDI (7CEC);
+RUNTEST 10 TCK;
+SDR 16 TDI (BB8F);
+RUNTEST 10 TCK;
+SDR 16 TDI (B959);
+RUNTEST 10 TCK;
+SDR 16 TDI (67C4);
+RUNTEST 10 TCK;
+SDR 16 TDI (E8C7);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBB8);
+RUNTEST 10 TCK;
+SDR 16 TDI (88FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (6957);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (B777);
+RUNTEST 10 TCK;
+SDR 16 TDI (74AB);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (7CCD);
+RUNTEST 10 TCK;
+SDR 16 TDI (B995);
+RUNTEST 10 TCK;
+SDR 16 TDI (1999);
+RUNTEST 10 TCK;
+SDR 16 TDI (73CC);
+RUNTEST 10 TCK;
+SDR 16 TDI (CD9A);
+RUNTEST 10 TCK;
+SDR 16 TDI (B19D);
+RUNTEST 10 TCK;
+SDR 16 TDI (DDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7B7B);
+RUNTEST 10 TCK;
+SDR 16 TDI (6F76);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDE);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (DEBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BDDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (DFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FEE);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (DDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (F7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BF7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFFB);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFB);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BF7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFCE);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (6FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF5);
+RUNTEST 10 TCK;
+SDR 16 TDI (65FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FBBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (DFFB);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF5);
+RUNTEST 10 TCK;
+SDR 16 TDI (65FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AAAF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7BFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEE);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FAFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FBFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BAAF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FEDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (7BFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFBB);
+RUNTEST 10 TCK;
+SDR 16 TDI (DDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (77FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFC);
+RUNTEST 10 TCK;
+SDR 16 TDI (BE1F);
+RUNTEST 10 TCK;
+SDR 16 TDI (0400);
+RUNTEST 10 TCK;
+SDR 16 TDI (6CFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF4);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFC);
+RUNTEST 10 TCK;
+SDR 16 TDI (BE1F);
+RUNTEST 10 TCK;
+SDR 16 TDI (8400);
+RUNTEST 10 TCK;
+SDR 16 TDI (6CFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFC);
+RUNTEST 10 TCK;
+SDR 16 TDI (BE1F);
+RUNTEST 10 TCK;
+SDR 16 TDI (8000);
+RUNTEST 10 TCK;
+SDR 16 TDI (6CFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF5);
+RUNTEST 10 TCK;
+SDR 16 TDI (67FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFC);
+RUNTEST 10 TCK;
+SDR 16 TDI (BE1F);
+RUNTEST 10 TCK;
+SDR 16 TDI (8000);
+RUNTEST 10 TCK;
+SDR 16 TDI (6CFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (3333);
+RUNTEST 10 TCK;
+SDR 16 TDI (63E6);
+RUNTEST 10 TCK;
+SDR 16 TDI (6667);
+RUNTEST 10 TCK;
+SDR 16 TDI (B333);
+RUNTEST 10 TCK;
+SDR 16 TDI (31F3);
+RUNTEST 10 TCK;
+SDR 16 TDI (6666);
+RUNTEST 10 TCK;
+SDR 16 TDI (7CCE);
+RUNTEST 10 TCK;
+SDR 16 TDI (B98F);
+RUNTEST 10 TCK;
+SDR 16 TDI (B99B);
+RUNTEST 10 TCK;
+SDR 16 TDI (73CC);
+RUNTEST 10 TCK;
+SDR 16 TDI (CCC7);
+RUNTEST 10 TCK;
+SDR 16 TDI (B999);
+RUNTEST 10 TCK;
+SDR 16 TDI (99FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (6957);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (B777);
+RUNTEST 10 TCK;
+SDR 16 TDI (74AB);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DDC);
+RUNTEST 10 TCK;
+SDR 16 TDI (BB95);
+RUNTEST 10 TCK;
+SDR 16 TDI (1999);
+RUNTEST 10 TCK;
+SDR 16 TDI (73DD);
+RUNTEST 10 TCK;
+SDR 16 TDI (DDD2);
+RUNTEST 10 TCK;
+SDR 16 TDI (B5DD);
+RUNTEST 10 TCK;
+SDR 16 TDI (DDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (77F7);
+RUNTEST 10 TCK;
+SDR 16 TDI (6FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B7FB);
+RUNTEST 10 TCK;
+SDR 16 TDI (77FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FF7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFB);
+RUNTEST 10 TCK;
+SDR 16 TDI (BABF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDB);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF5);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (65FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7BFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF5);
+RUNTEST 10 TCK;
+SDR 16 TDI (75FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AAAF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BDDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (6DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (DFBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (77FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (74FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9AAF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFBE);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9DFB);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7BFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF3);
+RUNTEST 10 TCK;
+SDR 16 TDI (987F);
+RUNTEST 10 TCK;
+SDR 16 TDI (1E62);
+RUNTEST 10 TCK;
+SDR 16 TDI (61FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF5);
+RUNTEST 10 TCK;
+SDR 16 TDI (69FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF3);
+RUNTEST 10 TCK;
+SDR 16 TDI (B87F);
+RUNTEST 10 TCK;
+SDR 16 TDI (9E62);
+RUNTEST 10 TCK;
+SDR 16 TDI (62FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (8FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (75FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (955F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF3);
+RUNTEST 10 TCK;
+SDR 16 TDI (987F);
+RUNTEST 10 TCK;
+SDR 16 TDI (9E02);
+RUNTEST 10 TCK;
+SDR 16 TDI (68FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF5);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF3);
+RUNTEST 10 TCK;
+SDR 16 TDI (987F);
+RUNTEST 10 TCK;
+SDR 16 TDI (9E02);
+RUNTEST 10 TCK;
+SDR 16 TDI (64FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (77FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (3333);
+RUNTEST 10 TCK;
+SDR 16 TDI (63E6);
+RUNTEST 10 TCK;
+SDR 16 TDI (6667);
+RUNTEST 10 TCK;
+SDR 16 TDI (9333);
+RUNTEST 10 TCK;
+SDR 16 TDI (31F3);
+RUNTEST 10 TCK;
+SDR 16 TDI (6666);
+RUNTEST 10 TCK;
+SDR 16 TDI (7CCE);
+RUNTEST 10 TCK;
+SDR 16 TDI (B9CF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BB97);
+RUNTEST 10 TCK;
+SDR 16 TDI (66CC);
+RUNTEST 10 TCK;
+SDR 16 TDI (CCC7);
+RUNTEST 10 TCK;
+SDR 16 TDI (9999);
+RUNTEST 10 TCK;
+SDR 16 TDI (99FD);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (6957);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (B777);
+RUNTEST 10 TCK;
+SDR 16 TDI (74AB);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DDC);
+RUNTEST 10 TCK;
+SDR 16 TDI (9995);
+RUNTEST 10 TCK;
+SDR 16 TDI (1998);
+RUNTEST 10 TCK;
+SDR 16 TDI (73DD);
+RUNTEST 10 TCK;
+SDR 16 TDI (DDD2);
+RUNTEST 10 TCK;
+SDR 16 TDI (95DD);
+RUNTEST 10 TCK;
+SDR 16 TDI (DDFB);
+RUNTEST 10 TCK;
+SDR 16 TDI (7BFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FB7);
+RUNTEST 10 TCK;
+SDR 16 TDI (6EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BF3F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7BFB);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (CEEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (6FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BEBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (47F7);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (DFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (F7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B77F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFB);
+RUNTEST 10 TCK;
+SDR 16 TDI (F7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFD7);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (6FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (DFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BAFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (DCFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFF7);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEB);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (6FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FEFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (7BFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BCDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (DFDE);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFB);
+RUNTEST 10 TCK;
+SDR 16 TDI (FEEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BEBB);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFFB);
+RUNTEST 10 TCK;
+SDR 16 TDI (5F9D);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (75FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AAAF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DDD);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (75FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEA);
+RUNTEST 10 TCK;
+SDR 16 TDI (BCDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BEFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (77FB);
+RUNTEST 10 TCK;
+SDR 16 TDI (EBBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9AEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (F6FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFBB);
+RUNTEST 10 TCK;
+SDR 16 TDI (B7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EBAB);
+RUNTEST 10 TCK;
+SDR 16 TDI (5DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FAAF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFBA);
+RUNTEST 10 TCK;
+SDR 16 TDI (AAFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FDEB);
+RUNTEST 10 TCK;
+SDR 16 TDI (BD1F);
+RUNTEST 10 TCK;
+SDR 16 TDI (9AFA);
+RUNTEST 10 TCK;
+SDR 16 TDI (770E);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BB3F);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B2EF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFBD);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFB);
+RUNTEST 10 TCK;
+SDR 16 TDI (E88F);
+RUNTEST 10 TCK;
+SDR 16 TDI (BEC9);
+RUNTEST 10 TCK;
+SDR 16 TDI (98FA);
+RUNTEST 10 TCK;
+SDR 16 TDI (57FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FF15);
+RUNTEST 10 TCK;
+SDR 16 TDI (A2FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (F7D7);
+RUNTEST 10 TCK;
+SDR 16 TDI (4CF5);
+RUNTEST 10 TCK;
+SDR 16 TDI (F77F);
+RUNTEST 10 TCK;
+SDR 16 TDI (BDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (777F);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BD5F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (F0F5);
+RUNTEST 10 TCK;
+SDR 16 TDI (BE97);
+RUNTEST 10 TCK;
+SDR 16 TDI (0303);
+RUNTEST 10 TCK;
+SDR 16 TDI (7F3F);
+RUNTEST 10 TCK;
+SDR 16 TDI (3C2B);
+RUNTEST 10 TCK;
+SDR 16 TDI (B798);
+RUNTEST 10 TCK;
+SDR 16 TDI (7AF4);
+RUNTEST 10 TCK;
+SDR 16 TDI (79FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (F0CF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BE1E);
+RUNTEST 10 TCK;
+SDR 16 TDI (A300);
+RUNTEST 10 TCK;
+SDR 16 TDI (613B);
+RUNTEST 10 TCK;
+SDR 16 TDI (340F);
+RUNTEST 10 TCK;
+SDR 16 TDI (A999);
+RUNTEST 10 TCK;
+SDR 16 TDI (FA7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (5DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (F0F4);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (E57F);
+RUNTEST 10 TCK;
+SDR 16 TDI (5F3F);
+RUNTEST 10 TCK;
+SDR 16 TDI (350F);
+RUNTEST 10 TCK;
+SDR 16 TDI (BB50);
+RUNTEST 10 TCK;
+SDR 16 TDI (7B75);
+RUNTEST 10 TCK;
+SDR 16 TDI (6BFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (F0EC);
+RUNTEST 10 TCK;
+SDR 16 TDI (BB3F);
+RUNTEST 10 TCK;
+SDR 16 TDI (C562);
+RUNTEST 10 TCK;
+SDR 16 TDI (61BF);
+RUNTEST 10 TCK;
+SDR 16 TDI (3C0F);
+RUNTEST 10 TCK;
+SDR 16 TDI (B950);
+RUNTEST 10 TCK;
+SDR 16 TDI (3B7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (3333);
+RUNTEST 10 TCK;
+SDR 16 TDI (43E6);
+RUNTEST 10 TCK;
+SDR 16 TDI (6667);
+RUNTEST 10 TCK;
+SDR 16 TDI (B333);
+RUNTEST 10 TCK;
+SDR 16 TDI (31F3);
+RUNTEST 10 TCK;
+SDR 16 TDI (6666);
+RUNTEST 10 TCK;
+SDR 16 TDI (7CCE);
+RUNTEST 10 TCK;
+SDR 16 TDI (B98F);
+RUNTEST 10 TCK;
+SDR 16 TDI (BB9B);
+RUNTEST 10 TCK;
+SDR 16 TDI (71CC);
+RUNTEST 10 TCK;
+SDR 16 TDI (EEC7);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBB9);
+RUNTEST 10 TCK;
+SDR 16 TDI (9BFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (6957);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (B777);
+RUNTEST 10 TCK;
+SDR 16 TDI (74AB);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (7C9C);
+RUNTEST 10 TCK;
+SDR 16 TDI (B395);
+RUNTEST 10 TCK;
+SDR 16 TDI (19C9);
+RUNTEST 10 TCK;
+SDR 16 TDI (5B99);
+RUNTEST 10 TCK;
+SDR 16 TDI (CCD2);
+RUNTEST 10 TCK;
+SDR 16 TDI (B19D);
+RUNTEST 10 TCK;
+SDR 16 TDI (D9FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (F7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B7FB);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFB);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (DFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (DFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BF7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (77FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FF7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (77FE);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (F7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (6FFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (F7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDE);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FF8F);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFA);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FDEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (DFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFC);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (3FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFE7);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (7BFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF9);
+RUNTEST 10 TCK;
+SDR 16 TDI (B33F);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (999F);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFC);
+RUNTEST 10 TCK;
+SDR 16 TDI (F9E7);
+RUNTEST 10 TCK;
+SDR 16 TDI (59FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FCF9);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFE7);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF3);
+RUNTEST 10 TCK;
+SDR 16 TDI (BCFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SIR 10 TDI (203);
+RUNTEST 4 TCK;
+SDR 13 TDI (0001);
+SIR 10 TDI (2F4);
+RUNTEST 4 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+!
+!
+!
+!VERIFY
+!
+!
+!
+SIR 10 TDI (203);
+RUNTEST 4 TCK;
+SDR 13 TDI (0000);
+SIR 10 TDI (205);
+RUNTEST 4 TCK;
+SDR 16 TDI (FFFF) TDO (7FFF) MASK (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFF7);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (67FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (CEEE);
+SDR 16 TDI (FFFF) TDO (7FFB);
+SDR 16 TDI (FFFF) TDO (FF7F);
+SDR 16 TDI (FFFF) TDO (BFEE);
+SDR 16 TDI (FFFF) TDO (EFF9);
+SDR 16 TDI (FFFF) TDO (733F);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (B77F);
+SDR 16 TDI (FFFF) TDO (ECCF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (F7BF);
+SDR 16 TDI (FFFF) TDO (BECC);
+SDR 16 TDI (FFFF) TDO (CFFE);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FDDD);
+SDR 16 TDI (FFFF) TDO (7FFD);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFEE);
+SDR 16 TDI (FFFF) TDO (EFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFA);
+SDR 16 TDI (FFFF) TDO (AEFF);
+SDR 16 TDI (FFFF) TDO (F7FF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (5DBF);
+SDR 16 TDI (FFFF) TDO (BEFF);
+SDR 16 TDI (FFFF) TDO (FFFE);
+SDR 16 TDI (FFFF) TDO (6FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFEE);
+SDR 16 TDI (FFFF) TDO (EFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFD);
+SDR 16 TDI (FFFF) TDO (B777);
+SDR 16 TDI (FFFF) TDO (EFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFE);
+SDR 16 TDI (FFFF) TDO (6FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FDDD);
+SDR 16 TDI (FFFF) TDO (7FFD);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (EFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (6E7F);
+SDR 16 TDI (FFFF) TDO (BF7F);
+SDR 16 TDI (FFFF) TDO (FFFE);
+SDR 16 TDI (FFFF) TDO (6FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (EFFB);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FBFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFB);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFE);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (EFFB);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FDEF);
+SDR 16 TDI (FFFF) TDO (BF7F);
+SDR 16 TDI (FFFF) TDO (FFFE);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (EFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFE);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFE);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFB);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (DFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FEEF);
+SDR 16 TDI (FFFF) TDO (BEFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFB);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (EFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (6FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FBFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FF7F);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (EFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFB);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FBFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (DFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (BBFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (EFFF);
+SDR 16 TDI (FFFF) TDO (BDFF);
+SDR 16 TDI (FFFF) TDO (BFFD);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FF7D);
+SDR 16 TDI (FFFF) TDO (6FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (E7FF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EFF);
+SDR 16 TDI (FFFF) TDO (F7FF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (DFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (EFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFBF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (EFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFBF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (A55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFF4);
+SDR 16 TDI (FFFF) TDO (7BFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (A55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFF4);
+SDR 16 TDI (FFFF) TDO (7BFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AAAF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFA);
+SDR 16 TDI (FFFF) TDO (77FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BAAF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFEA);
+SDR 16 TDI (FFFF) TDO (77FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (A55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FDF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B7FF);
+SDR 16 TDI (FFFF) TDO (FFF5);
+SDR 16 TDI (FFFF) TDO (6BFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FBF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFE);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFF5);
+SDR 16 TDI (FFFF) TDO (6BFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (3333);
+SDR 16 TDI (FFFF) TDO (63E6);
+SDR 16 TDI (FFFF) TDO (6667);
+SDR 16 TDI (FFFF) TDO (B333);
+SDR 16 TDI (FFFF) TDO (31F3);
+SDR 16 TDI (FFFF) TDO (6666);
+SDR 16 TDI (FFFF) TDO (7CCC);
+SDR 16 TDI (FFFF) TDO (B98F);
+SDR 16 TDI (FFFF) TDO (9999);
+SDR 16 TDI (FFFF) TDO (73CC);
+SDR 16 TDI (FFFF) TDO (CCC7);
+SDR 16 TDI (FFFF) TDO (B999);
+SDR 16 TDI (FFFF) TDO (99FA);
+SDR 16 TDI (FFFF) TDO (77FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (6957);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (B777);
+SDR 16 TDI (FFFF) TDO (74AB);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (7DDD);
+SDR 16 TDI (FFFF) TDO (BBA5);
+SDR 16 TDI (FFFF) TDO (5DDD);
+SDR 16 TDI (FFFF) TDO (7BDD);
+SDR 16 TDI (FFFF) TDO (DDD2);
+SDR 16 TDI (FFFF) TDO (B5DD);
+SDR 16 TDI (FFFF) TDO (DDFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FDF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B7FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (77FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFBF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (DFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FBFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (EFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FBFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (DFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFD);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFE);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (EFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (DDFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BABF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFE);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FBF7);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (6FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (A55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFF5);
+SDR 16 TDI (FFFF) TDO (79FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFF6);
+SDR 16 TDI (FFFF) TDO (BBDF);
+SDR 16 TDI (FFFF) TDO (BF35);
+SDR 16 TDI (FFFF) TDO (76FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (6DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (A55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B7FF);
+SDR 16 TDI (FFFF) TDO (FBFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFF5);
+SDR 16 TDI (FFFF) TDO (75FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AAAF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFA);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FBAA);
+SDR 16 TDI (FFFF) TDO (76FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (6DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BDFF);
+SDR 16 TDI (FFFF) TDO (FEFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFE);
+SDR 16 TDI (FFFF) TDO (A7FF);
+SDR 16 TDI (FFFF) TDO (DFBB);
+SDR 16 TDI (FFFF) TDO (75FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BAAF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFF5);
+SDR 16 TDI (FFFF) TDO (BDFF);
+SDR 16 TDI (FFFF) TDO (FAD5);
+SDR 16 TDI (FFFF) TDO (7AFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFEA);
+SDR 16 TDI (FFFF) TDO (77FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFB);
+SDR 16 TDI (FFFF) TDO (BBBF);
+SDR 16 TDI (FFFF) TDO (FD6E);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (A55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FDF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFF2);
+SDR 16 TDI (FFFF) TDO (A417);
+SDR 16 TDI (FFFF) TDO (0660);
+SDR 16 TDI (FFFF) TDO (60FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B7FF);
+SDR 16 TDI (FFFF) TDO (FFF5);
+SDR 16 TDI (FFFF) TDO (6BFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FBF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFF4);
+SDR 16 TDI (FFFF) TDO (BE1E);
+SDR 16 TDI (FFFF) TDO (8670);
+SDR 16 TDI (FFFF) TDO (62FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFF1);
+SDR 16 TDI (FFFF) TDO (B21F);
+SDR 16 TDI (FFFF) TDO (87E2);
+SDR 16 TDI (FFFF) TDO (6FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFF5);
+SDR 16 TDI (FFFF) TDO (6BFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFF0);
+SDR 16 TDI (FFFF) TDO (BE1F);
+SDR 16 TDI (FFFF) TDO (8762);
+SDR 16 TDI (FFFF) TDO (6EFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (77FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (3333);
+SDR 16 TDI (FFFF) TDO (63E6);
+SDR 16 TDI (FFFF) TDO (6667);
+SDR 16 TDI (FFFF) TDO (B333);
+SDR 16 TDI (FFFF) TDO (31F3);
+SDR 16 TDI (FFFF) TDO (6666);
+SDR 16 TDI (FFFF) TDO (7CCC);
+SDR 16 TDI (FFFF) TDO (B18F);
+SDR 16 TDI (FFFF) TDO (9899);
+SDR 16 TDI (FFFF) TDO (77CC);
+SDR 16 TDI (FFFF) TDO (CCC7);
+SDR 16 TDI (FFFF) TDO (B999);
+SDR 16 TDI (FFFF) TDO (99FF);
+SDR 16 TDI (FFFF) TDO (77FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (6957);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (B777);
+SDR 16 TDI (FFFF) TDO (74AB);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (7DDD);
+SDR 16 TDI (FFFF) TDO (BB95);
+SDR 16 TDI (FFFF) TDO (1DD9);
+SDR 16 TDI (FFFF) TDO (73DD);
+SDR 16 TDI (FFFF) TDO (DDD2);
+SDR 16 TDI (FFFF) TDO (B5DD);
+SDR 16 TDI (FFFF) TDO (DDFF);
+SDR 16 TDI (FFFF) TDO (7BFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FDF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFE);
+SDR 16 TDI (FFFF) TDO (BEFF);
+SDR 16 TDI (FFFF) TDO (77BF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B7FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFD);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FEFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (DFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (BEFF);
+SDR 16 TDI (FFFF) TDO (FDFF);
+SDR 16 TDI (FFFF) TDO (77FF);
+SDR 16 TDI (FFFF) TDO (BFBF);
+SDR 16 TDI (FFFF) TDO (BEFF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFE);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (EFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BEFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FB7F);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FDFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFBF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (EFFF);
+SDR 16 TDI (FFFF) TDO (BDFF);
+SDR 16 TDI (FFFF) TDO (FBFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFBF);
+SDR 16 TDI (FFFF) TDO (BFEF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FEFF);
+SDR 16 TDI (FFFF) TDO (BFBF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (EBF7);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7BFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (EFFF);
+SDR 16 TDI (FFFF) TDO (B7BF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (7FF7);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BDBF);
+SDR 16 TDI (FFFF) TDO (FAFE);
+SDR 16 TDI (FFFF) TDO (7BBF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (F7FB);
+SDR 16 TDI (FFFF) TDO (B7FF);
+SDR 16 TDI (FFFF) TDO (FFF7);
+SDR 16 TDI (FFFF) TDO (7FF7);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B77F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (BDFF);
+SDR 16 TDI (FFFF) TDO (FFBF);
+SDR 16 TDI (FFFF) TDO (7BF7);
+SDR 16 TDI (FFFF) TDO (E77F);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (777D);
+SDR 16 TDI (FFFF) TDO (7BFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FEFF);
+SDR 16 TDI (FFFF) TDO (BF3F);
+SDR 16 TDI (FFFF) TDO (FFFD);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (A77F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FBBF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FDEF);
+SDR 16 TDI (FFFF) TDO (7DDE);
+SDR 16 TDI (FFFF) TDO (FDDF);
+SDR 16 TDI (FFFF) TDO (BFFD);
+SDR 16 TDI (FFFF) TDO (DDFD);
+SDR 16 TDI (FFFF) TDO (79FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (ABBF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FDFF);
+SDR 16 TDI (FFFF) TDO (AF7F);
+SDR 16 TDI (FFFF) TDO (BF7F);
+SDR 16 TDI (FFFF) TDO (6FF9);
+SDR 16 TDI (FFFF) TDO (FEBF);
+SDR 16 TDI (FFFF) TDO (BFBB);
+SDR 16 TDI (FFFF) TDO (BEFF);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFF5);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FDFD);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFE);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (77FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (F7FB);
+SDR 16 TDI (FFFF) TDO (B7DD);
+SDR 16 TDI (FFFF) TDO (FEFE);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BDFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FF96);
+SDR 16 TDI (FFFF) TDO (BEDD);
+SDR 16 TDI (FFFF) TDO (BF4B);
+SDR 16 TDI (FFFF) TDO (69F7);
+SDR 16 TDI (FFFF) TDO (F77F);
+SDR 16 TDI (FFFF) TDO (BFBF);
+SDR 16 TDI (FFFF) TDO (777F);
+SDR 16 TDI (FFFF) TDO (77FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BAAF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FBFF);
+SDR 16 TDI (FFFF) TDO (A3FF);
+SDR 16 TDI (FFFF) TDO (FEFC);
+SDR 16 TDI (FFFF) TDO (7FD8);
+SDR 16 TDI (FFFF) TDO (FE9F);
+SDR 16 TDI (FFFF) TDO (BFFB);
+SDR 16 TDI (FFFF) TDO (BCEE);
+SDR 16 TDI (FFFF) TDO (77FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (F4FB);
+SDR 16 TDI (FFFF) TDO (BF3F);
+SDR 16 TDI (FFFF) TDO (F9FF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (DDFE);
+SDR 16 TDI (FFFF) TDO (BBFD);
+SDR 16 TDI (FFFF) TDO (DFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (A55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FDF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (F8F4);
+SDR 16 TDI (FFFF) TDO (BBBF);
+SDR 16 TDI (FFFF) TDO (6008);
+SDR 16 TDI (FFFF) TDO (6F00);
+SDR 16 TDI (FFFF) TDO (000F);
+SDR 16 TDI (FFFF) TDO (B198);
+SDR 16 TDI (FFFF) TDO (0075);
+SDR 16 TDI (FFFF) TDO (69FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FBF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FAF0);
+SDR 16 TDI (FFFF) TDO (A19B);
+SDR 16 TDI (FFFF) TDO (E208);
+SDR 16 TDI (FFFF) TDO (6F00);
+SDR 16 TDI (FFFF) TDO (000D);
+SDR 16 TDI (FFFF) TDO (B998);
+SDR 16 TDI (FFFF) TDO (007F);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FF64);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (E069);
+SDR 16 TDI (FFFF) TDO (6908);
+SDR 16 TDI (FFFF) TDO (009F);
+SDR 16 TDI (FFFF) TDO (B998);
+SDR 16 TDI (FFFF) TDO (6075);
+SDR 16 TDI (FFFF) TDO (6BFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FF60);
+SDR 16 TDI (FFFF) TDO (A39F);
+SDR 16 TDI (FFFF) TDO (E068);
+SDR 16 TDI (FFFF) TDO (6900);
+SDR 16 TDI (FFFF) TDO (0C0F);
+SDR 16 TDI (FFFF) TDO (B99E);
+SDR 16 TDI (FFFF) TDO (037F);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (3333);
+SDR 16 TDI (FFFF) TDO (63E6);
+SDR 16 TDI (FFFF) TDO (6667);
+SDR 16 TDI (FFFF) TDO (B333);
+SDR 16 TDI (FFFF) TDO (31F3);
+SDR 16 TDI (FFFF) TDO (6666);
+SDR 16 TDI (FFFF) TDO (7CEC);
+SDR 16 TDI (FFFF) TDO (BB8F);
+SDR 16 TDI (FFFF) TDO (B959);
+SDR 16 TDI (FFFF) TDO (67C4);
+SDR 16 TDI (FFFF) TDO (E8C7);
+SDR 16 TDI (FFFF) TDO (BBB8);
+SDR 16 TDI (FFFF) TDO (88FF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (6957);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (B777);
+SDR 16 TDI (FFFF) TDO (74AB);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (7CCD);
+SDR 16 TDI (FFFF) TDO (B995);
+SDR 16 TDI (FFFF) TDO (1999);
+SDR 16 TDI (FFFF) TDO (73CC);
+SDR 16 TDI (FFFF) TDO (CD9A);
+SDR 16 TDI (FFFF) TDO (B19D);
+SDR 16 TDI (FFFF) TDO (DDFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FDF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (7B7B);
+SDR 16 TDI (FFFF) TDO (6F76);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (B7FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FEFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (EDFF);
+SDR 16 TDI (FFFF) TDO (7FDE);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FBFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFDF);
+SDR 16 TDI (FFFF) TDO (DEBF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BDDF);
+SDR 16 TDI (FFFF) TDO (DFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FBFF);
+SDR 16 TDI (FFFF) TDO (7FEE);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BBFF);
+SDR 16 TDI (FFFF) TDO (DDFF);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FDFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (B7FF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFDF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (F7FF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FDFF);
+SDR 16 TDI (FFFF) TDO (BF7F);
+SDR 16 TDI (FFFF) TDO (FFBF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FBFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (EFFB);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (AFFE);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FEFF);
+SDR 16 TDI (FFFF) TDO (BFFB);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FBFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FDFF);
+SDR 16 TDI (FFFF) TDO (BF7F);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FBFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (EFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFD);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFCE);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (EFFF);
+SDR 16 TDI (FFFF) TDO (BFBF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFBF);
+SDR 16 TDI (FFFF) TDO (FFFD);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (6FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (A55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFD);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFF5);
+SDR 16 TDI (FFFF) TDO (65FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FBBF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (A55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFDF);
+SDR 16 TDI (FFFF) TDO (DFFB);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFF5);
+SDR 16 TDI (FFFF) TDO (65FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AAAF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFE);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7BFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFEE);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FAFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FBFD);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BAAF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFE);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FEDF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFE);
+SDR 16 TDI (FFFF) TDO (7BFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFBB);
+SDR 16 TDI (FFFF) TDO (DDFF);
+SDR 16 TDI (FFFF) TDO (77FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (A55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FDF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFC);
+SDR 16 TDI (FFFF) TDO (BE1F);
+SDR 16 TDI (FFFF) TDO (0400);
+SDR 16 TDI (FFFF) TDO (6CFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B7FF);
+SDR 16 TDI (FFFF) TDO (FFF4);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FBF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFC);
+SDR 16 TDI (FFFF) TDO (BE1F);
+SDR 16 TDI (FFFF) TDO (8400);
+SDR 16 TDI (FFFF) TDO (6CFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFC);
+SDR 16 TDI (FFFF) TDO (BE1F);
+SDR 16 TDI (FFFF) TDO (8000);
+SDR 16 TDI (FFFF) TDO (6CFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFF5);
+SDR 16 TDI (FFFF) TDO (67FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFC);
+SDR 16 TDI (FFFF) TDO (BE1F);
+SDR 16 TDI (FFFF) TDO (8000);
+SDR 16 TDI (FFFF) TDO (6CFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (3333);
+SDR 16 TDI (FFFF) TDO (63E6);
+SDR 16 TDI (FFFF) TDO (6667);
+SDR 16 TDI (FFFF) TDO (B333);
+SDR 16 TDI (FFFF) TDO (31F3);
+SDR 16 TDI (FFFF) TDO (6666);
+SDR 16 TDI (FFFF) TDO (7CCE);
+SDR 16 TDI (FFFF) TDO (B98F);
+SDR 16 TDI (FFFF) TDO (B99B);
+SDR 16 TDI (FFFF) TDO (73CC);
+SDR 16 TDI (FFFF) TDO (CCC7);
+SDR 16 TDI (FFFF) TDO (B999);
+SDR 16 TDI (FFFF) TDO (99FF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (6957);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (B777);
+SDR 16 TDI (FFFF) TDO (74AB);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (7DDC);
+SDR 16 TDI (FFFF) TDO (BB95);
+SDR 16 TDI (FFFF) TDO (1999);
+SDR 16 TDI (FFFF) TDO (73DD);
+SDR 16 TDI (FFFF) TDO (DDD2);
+SDR 16 TDI (FFFF) TDO (B5DD);
+SDR 16 TDI (FFFF) TDO (DDFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FDF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BEFF);
+SDR 16 TDI (FFFF) TDO (77F7);
+SDR 16 TDI (FFFF) TDO (6FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B7FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFBF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (B7FB);
+SDR 16 TDI (FFFF) TDO (77FF);
+SDR 16 TDI (FFFF) TDO (FF7F);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (EFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFBF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFBF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFBF);
+SDR 16 TDI (FFFF) TDO (FDFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (EFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFBF);
+SDR 16 TDI (FFFF) TDO (FDFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFBF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (EFFF);
+SDR 16 TDI (FFFF) TDO (BFBF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFB);
+SDR 16 TDI (FFFF) TDO (BABF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (A55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFDB);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFF5);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (65FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (A55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFD);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7BFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFF5);
+SDR 16 TDI (FFFF) TDO (75FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AAAF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BDDF);
+SDR 16 TDI (FFFF) TDO (FDFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFE);
+SDR 16 TDI (FFFF) TDO (6DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (9FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (9FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFD);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFE);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (9FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (9FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (DFBF);
+SDR 16 TDI (FFFF) TDO (77FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (9FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (9FFF);
+SDR 16 TDI (FFFF) TDO (FFFD);
+SDR 16 TDI (FFFF) TDO (74FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (9AAF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (9FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFE);
+SDR 16 TDI (FFFF) TDO (BFDF);
+SDR 16 TDI (FFFF) TDO (FFBE);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (9FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (9FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (9DFB);
+SDR 16 TDI (FFFF) TDO (BBDF);
+SDR 16 TDI (FFFF) TDO (7BFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (9FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (A55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FDF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFF3);
+SDR 16 TDI (FFFF) TDO (987F);
+SDR 16 TDI (FFFF) TDO (1E62);
+SDR 16 TDI (FFFF) TDO (61FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B7FF);
+SDR 16 TDI (FFFF) TDO (FFF5);
+SDR 16 TDI (FFFF) TDO (69FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FBF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (9FFF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFF3);
+SDR 16 TDI (FFFF) TDO (B87F);
+SDR 16 TDI (FFFF) TDO (9E62);
+SDR 16 TDI (FFFF) TDO (62FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (8FFF);
+SDR 16 TDI (FFFF) TDO (FFFE);
+SDR 16 TDI (FFFF) TDO (75FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (955F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFF3);
+SDR 16 TDI (FFFF) TDO (987F);
+SDR 16 TDI (FFFF) TDO (9E02);
+SDR 16 TDI (FFFF) TDO (68FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (9FFF);
+SDR 16 TDI (FFFF) TDO (FFF5);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (9FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFF3);
+SDR 16 TDI (FFFF) TDO (987F);
+SDR 16 TDI (FFFF) TDO (9E02);
+SDR 16 TDI (FFFF) TDO (64FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFBF);
+SDR 16 TDI (FFFF) TDO (77FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (9FFF);
+SDR 16 TDI (FFFF) TDO (3333);
+SDR 16 TDI (FFFF) TDO (63E6);
+SDR 16 TDI (FFFF) TDO (6667);
+SDR 16 TDI (FFFF) TDO (9333);
+SDR 16 TDI (FFFF) TDO (31F3);
+SDR 16 TDI (FFFF) TDO (6666);
+SDR 16 TDI (FFFF) TDO (7CCE);
+SDR 16 TDI (FFFF) TDO (B9CF);
+SDR 16 TDI (FFFF) TDO (BB97);
+SDR 16 TDI (FFFF) TDO (66CC);
+SDR 16 TDI (FFFF) TDO (CCC7);
+SDR 16 TDI (FFFF) TDO (9999);
+SDR 16 TDI (FFFF) TDO (99FD);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (9FFF);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (6957);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (B777);
+SDR 16 TDI (FFFF) TDO (74AB);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (7DDC);
+SDR 16 TDI (FFFF) TDO (9995);
+SDR 16 TDI (FFFF) TDO (1998);
+SDR 16 TDI (FFFF) TDO (73DD);
+SDR 16 TDI (FFFF) TDO (DDD2);
+SDR 16 TDI (FFFF) TDO (95DD);
+SDR 16 TDI (FFFF) TDO (DDFB);
+SDR 16 TDI (FFFF) TDO (7BFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FDF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (9FFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (9FFF);
+SDR 16 TDI (FFFF) TDO (7FB7);
+SDR 16 TDI (FFFF) TDO (6EFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B7FF);
+SDR 16 TDI (FFFF) TDO (FFBF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (BF3F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7BFB);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B7FF);
+SDR 16 TDI (FFFF) TDO (CEEF);
+SDR 16 TDI (FFFF) TDO (6FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (BEBF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (47F7);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (DFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BBFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BEFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (EDFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (F7FF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (EDFF);
+SDR 16 TDI (FFFF) TDO (B77F);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (7FFB);
+SDR 16 TDI (FFFF) TDO (F7FF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BEFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BBFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFD7);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FBFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (EFFF);
+SDR 16 TDI (FFFF) TDO (BFEF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (6FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (EFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (DFFF);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BDFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B7FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BAFF);
+SDR 16 TDI (FFFF) TDO (DCFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (9FFF);
+SDR 16 TDI (FFFF) TDO (BFF7);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFEB);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5EFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (6FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (A55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FEFD);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FBF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFD);
+SDR 16 TDI (FFFF) TDO (7BFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (BCDF);
+SDR 16 TDI (FFFF) TDO (DFDE);
+SDR 16 TDI (FFFF) TDO (7FFB);
+SDR 16 TDI (FFFF) TDO (FEEF);
+SDR 16 TDI (FFFF) TDO (BEBB);
+SDR 16 TDI (FFFF) TDO (BBFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (A55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (EFFB);
+SDR 16 TDI (FFFF) TDO (5F9D);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BBFF);
+SDR 16 TDI (FFFF) TDO (FFFD);
+SDR 16 TDI (FFFF) TDO (75FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AAAF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFBF);
+SDR 16 TDI (FFFF) TDO (B7FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7DDD);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (75FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (9FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFEA);
+SDR 16 TDI (FFFF) TDO (BCDF);
+SDR 16 TDI (FFFF) TDO (BEFE);
+SDR 16 TDI (FFFF) TDO (77FB);
+SDR 16 TDI (FFFF) TDO (EBBF);
+SDR 16 TDI (FFFF) TDO (9AEF);
+SDR 16 TDI (FFFF) TDO (F6FF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFBB);
+SDR 16 TDI (FFFF) TDO (B7FF);
+SDR 16 TDI (FFFF) TDO (EBAB);
+SDR 16 TDI (FFFF) TDO (5DFF);
+SDR 16 TDI (FFFF) TDO (FAAF);
+SDR 16 TDI (FFFF) TDO (BFBA);
+SDR 16 TDI (FFFF) TDO (AAFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FDEB);
+SDR 16 TDI (FFFF) TDO (BD1F);
+SDR 16 TDI (FFFF) TDO (9AFA);
+SDR 16 TDI (FFFF) TDO (770E);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BB3F);
+SDR 16 TDI (FFFF) TDO (EFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B2EF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFE);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (EFBD);
+SDR 16 TDI (FFFF) TDO (7FFB);
+SDR 16 TDI (FFFF) TDO (E88F);
+SDR 16 TDI (FFFF) TDO (BEC9);
+SDR 16 TDI (FFFF) TDO (98FA);
+SDR 16 TDI (FFFF) TDO (57FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FF15);
+SDR 16 TDI (FFFF) TDO (A2FF);
+SDR 16 TDI (FFFF) TDO (F7D7);
+SDR 16 TDI (FFFF) TDO (4CF5);
+SDR 16 TDI (FFFF) TDO (F77F);
+SDR 16 TDI (FFFF) TDO (BDFF);
+SDR 16 TDI (FFFF) TDO (777F);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BD5F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FDF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (F0F5);
+SDR 16 TDI (FFFF) TDO (BE97);
+SDR 16 TDI (FFFF) TDO (0303);
+SDR 16 TDI (FFFF) TDO (7F3F);
+SDR 16 TDI (FFFF) TDO (3C2B);
+SDR 16 TDI (FFFF) TDO (B798);
+SDR 16 TDI (FFFF) TDO (7AF4);
+SDR 16 TDI (FFFF) TDO (79FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FBF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (F0CF);
+SDR 16 TDI (FFFF) TDO (BE1E);
+SDR 16 TDI (FFFF) TDO (A300);
+SDR 16 TDI (FFFF) TDO (613B);
+SDR 16 TDI (FFFF) TDO (340F);
+SDR 16 TDI (FFFF) TDO (A999);
+SDR 16 TDI (FFFF) TDO (FA7F);
+SDR 16 TDI (FFFF) TDO (5DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (F0F4);
+SDR 16 TDI (FFFF) TDO (BFBF);
+SDR 16 TDI (FFFF) TDO (E57F);
+SDR 16 TDI (FFFF) TDO (5F3F);
+SDR 16 TDI (FFFF) TDO (350F);
+SDR 16 TDI (FFFF) TDO (BB50);
+SDR 16 TDI (FFFF) TDO (7B75);
+SDR 16 TDI (FFFF) TDO (6BFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (F0EC);
+SDR 16 TDI (FFFF) TDO (BB3F);
+SDR 16 TDI (FFFF) TDO (C562);
+SDR 16 TDI (FFFF) TDO (61BF);
+SDR 16 TDI (FFFF) TDO (3C0F);
+SDR 16 TDI (FFFF) TDO (B950);
+SDR 16 TDI (FFFF) TDO (3B7F);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BEFF);
+SDR 16 TDI (FFFF) TDO (3333);
+SDR 16 TDI (FFFF) TDO (43E6);
+SDR 16 TDI (FFFF) TDO (6667);
+SDR 16 TDI (FFFF) TDO (B333);
+SDR 16 TDI (FFFF) TDO (31F3);
+SDR 16 TDI (FFFF) TDO (6666);
+SDR 16 TDI (FFFF) TDO (7CCE);
+SDR 16 TDI (FFFF) TDO (B98F);
+SDR 16 TDI (FFFF) TDO (BB9B);
+SDR 16 TDI (FFFF) TDO (71CC);
+SDR 16 TDI (FFFF) TDO (EEC7);
+SDR 16 TDI (FFFF) TDO (BBB9);
+SDR 16 TDI (FFFF) TDO (9BFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (6957);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (B777);
+SDR 16 TDI (FFFF) TDO (74AB);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (7C9C);
+SDR 16 TDI (FFFF) TDO (B395);
+SDR 16 TDI (FFFF) TDO (19C9);
+SDR 16 TDI (FFFF) TDO (5B99);
+SDR 16 TDI (FFFF) TDO (CCD2);
+SDR 16 TDI (FFFF) TDO (B19D);
+SDR 16 TDI (FFFF) TDO (D9FF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FDF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (F7FF);
+SDR 16 TDI (FFFF) TDO (BDFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (B7FB);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFB);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FEFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (DFFF);
+SDR 16 TDI (FFFF) TDO (BBFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (DFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (9FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BF7F);
+SDR 16 TDI (FFFF) TDO (FEFF);
+SDR 16 TDI (FFFF) TDO (5EFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (EFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (77FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (EFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FF7F);
+SDR 16 TDI (FFFF) TDO (7EFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BEFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (77FE);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (BFEF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (EFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (F7FF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (EFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (6FFE);
+SDR 16 TDI (FFFF) TDO (FFBF);
+SDR 16 TDI (FFFF) TDO (9FEF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (F7FF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FEFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (9FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFDE);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFE);
+SDR 16 TDI (FFFF) TDO (EFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FF8F);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFA);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFEF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FDEF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFD);
+SDR 16 TDI (FFFF) TDO (DFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFEF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFC);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (3FFF);
+SDR 16 TDI (FFFF) TDO (BFE7);
+SDR 16 TDI (FFFF) TDO (FFFD);
+SDR 16 TDI (FFFF) TDO (7BFF);
+SDR 16 TDI (FFFF) TDO (FFF9);
+SDR 16 TDI (FFFF) TDO (B33F);
+SDR 16 TDI (FFFF) TDO (EFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (999F);
+SDR 16 TDI (FFFF) TDO (BFEF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BEFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFC);
+SDR 16 TDI (FFFF) TDO (F9E7);
+SDR 16 TDI (FFFF) TDO (59FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FCF9);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFE7);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFF3);
+SDR 16 TDI (FFFF) TDO (BCFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SIR 10 TDI (203);
+RUNTEST 4 TCK;
+SDR 13 TDI (0001);
+SIR 10 TDI (205);
+RUNTEST 4 TCK;
+SDR 16 TDI (FFFF) TDO (FFFF) MASK (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SIR 10 TDI (203);
+RUNTEST 4 TCK;
+SDR 13 TDI (0000);
+SIR 10 TDI (2F4);
+RUNTEST 4 TCK;
+SDR 16 TDI (7BFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SIR 10 TDI (201);
+RUNTEST 103 TCK;
+SIR 10 TDI (3FF);
+RUNTEST 100 TCK;
+STATE IDLE;