]> git.sesse.net Git - nageru/blob - midi_mapper.cpp
Add mute buttons.
[nageru] / midi_mapper.cpp
1 #include "midi_mapper.h"
2
3 #include "audio_mixer.h"
4 #include "midi_mapping.pb.h"
5
6 #include <alsa/asoundlib.h>
7 #include <google/protobuf/text_format.h>
8 #include <google/protobuf/io/zero_copy_stream.h>
9 #include <google/protobuf/io/zero_copy_stream_impl.h>
10 #include <fcntl.h>
11 #include <sys/eventfd.h>
12
13 #include <functional>
14 #include <thread>
15
16 using namespace google::protobuf;
17 using namespace std;
18 using namespace std::placeholders;
19
20 namespace {
21
22 double map_controller_to_float(int val)
23 {
24         // Slightly hackish mapping so that we can represent exactly 0.0, 0.5 and 1.0.
25         if (val <= 0) {
26                 return 0.0;
27         } else if (val >= 127) {
28                 return 1.0;
29         } else {
30                 return (val + 0.5) / 127.0;
31         }
32 }
33
34 }  // namespace
35
36 MIDIMapper::MIDIMapper(ControllerReceiver *receiver)
37         : receiver(receiver), mapping_proto(new MIDIMappingProto)
38 {
39         should_quit_fd = eventfd(/*initval=*/0, /*flags=*/0);
40         assert(should_quit_fd != -1);
41         refresh_highlights();
42 }
43
44 MIDIMapper::~MIDIMapper()
45 {
46         should_quit = true;
47         const uint64_t one = 1;
48         write(should_quit_fd, &one, sizeof(one));
49         midi_thread.join();
50         close(should_quit_fd);
51 }
52
53 bool load_midi_mapping_from_file(const string &filename, MIDIMappingProto *new_mapping)
54 {
55         // Read and parse the protobuf from disk.
56         int fd = open(filename.c_str(), O_RDONLY);
57         if (fd == -1) {
58                 perror(filename.c_str());
59                 return false;
60         }
61         io::FileInputStream input(fd);  // Takes ownership of fd.
62         if (!TextFormat::Parse(&input, new_mapping)) {
63                 input.Close();
64                 return false;
65         }
66         input.Close();
67         return true;
68 }
69
70 bool save_midi_mapping_to_file(const MIDIMappingProto &mapping_proto, const string &filename)
71 {
72         // Save to disk. We use the text format because it's friendlier
73         // for a user to look at and edit.
74         int fd = open(filename.c_str(), O_WRONLY | O_TRUNC | O_CREAT, 0666);
75         if (fd == -1) {
76                 perror(filename.c_str());
77                 return false;
78         }
79         io::FileOutputStream output(fd);  // Takes ownership of fd.
80         if (!TextFormat::Print(mapping_proto, &output)) {
81                 // TODO: Don't overwrite the old file (if any) on error.
82                 output.Close();
83                 return false;
84         }
85
86         output.Close();
87         return true;
88 }
89
90 void MIDIMapper::set_midi_mapping(const MIDIMappingProto &new_mapping)
91 {
92         lock_guard<mutex> lock(mu);
93         if (mapping_proto) {
94                 mapping_proto->CopyFrom(new_mapping);
95         } else {
96                 mapping_proto.reset(new MIDIMappingProto(new_mapping));
97         }
98
99         num_controller_banks = min(max(mapping_proto->num_controller_banks(), 1), 5);
100         current_controller_bank = 0;
101
102         receiver->clear_all_highlights();
103         update_highlights();
104 }
105
106 void MIDIMapper::start_thread()
107 {
108         midi_thread = thread(&MIDIMapper::thread_func, this);
109 }
110
111 const MIDIMappingProto &MIDIMapper::get_current_mapping() const
112 {
113         lock_guard<mutex> lock(mu);
114         return *mapping_proto;
115 }
116
117 ControllerReceiver *MIDIMapper::set_receiver(ControllerReceiver *new_receiver)
118 {
119         lock_guard<mutex> lock(mu);
120         swap(receiver, new_receiver);
121         return new_receiver;  // Now old receiver.
122 }
123
124 #define RETURN_ON_ERROR(msg, expr) do {                            \
125         int err = (expr);                                          \
126         if (err < 0) {                                             \
127                 fprintf(stderr, msg ": %s\n", snd_strerror(err));  \
128                 return;                                            \
129         }                                                          \
130 } while (false)
131
132 #define WARN_ON_ERROR(msg, expr) do {                              \
133         int err = (expr);                                          \
134         if (err < 0) {                                             \
135                 fprintf(stderr, msg ": %s\n", snd_strerror(err));  \
136         }                                                          \
137 } while (false)
138
139
140 void MIDIMapper::thread_func()
141 {
142         snd_seq_t *seq;
143         int err;
144
145         RETURN_ON_ERROR("snd_seq_open", snd_seq_open(&seq, "default", SND_SEQ_OPEN_DUPLEX, 0));
146         RETURN_ON_ERROR("snd_seq_nonblock", snd_seq_nonblock(seq, 1));
147         RETURN_ON_ERROR("snd_seq_client_name", snd_seq_set_client_name(seq, "nageru"));
148         RETURN_ON_ERROR("snd_seq_create_simple_port",
149                 snd_seq_create_simple_port(seq, "nageru",
150                         SND_SEQ_PORT_CAP_READ |
151                                 SND_SEQ_PORT_CAP_SUBS_READ |
152                                 SND_SEQ_PORT_CAP_WRITE |
153                                 SND_SEQ_PORT_CAP_SUBS_WRITE,
154                         SND_SEQ_PORT_TYPE_MIDI_GENERIC |
155                                 SND_SEQ_PORT_TYPE_APPLICATION));
156
157         int queue_id = snd_seq_alloc_queue(seq);
158         RETURN_ON_ERROR("snd_seq_create_queue", queue_id);
159         RETURN_ON_ERROR("snd_seq_start_queue", snd_seq_start_queue(seq, queue_id, nullptr));
160
161         // The sequencer object is now ready to be used from other threads.
162         {
163                 lock_guard<mutex> lock(mu);
164                 alsa_seq = seq;
165                 alsa_queue_id = queue_id;
166         }
167
168         // Listen to the announce port (0:1), which will tell us about new ports.
169         RETURN_ON_ERROR("snd_seq_connect_from", snd_seq_connect_from(seq, 0, /*client=*/0, /*port=*/1));
170
171         // Now go through all ports and subscribe to them.
172         snd_seq_client_info_t *cinfo;
173         snd_seq_client_info_alloca(&cinfo);
174
175         snd_seq_client_info_set_client(cinfo, -1);
176         while (snd_seq_query_next_client(seq, cinfo) >= 0) {
177                 int client = snd_seq_client_info_get_client(cinfo);
178
179                 snd_seq_port_info_t *pinfo;
180                 snd_seq_port_info_alloca(&pinfo);
181
182                 snd_seq_port_info_set_client(pinfo, client);
183                 snd_seq_port_info_set_port(pinfo, -1);
184                 while (snd_seq_query_next_port(seq, pinfo) >= 0) {
185                         constexpr int mask = SND_SEQ_PORT_CAP_READ | SND_SEQ_PORT_CAP_SUBS_READ;
186                         if ((snd_seq_port_info_get_capability(pinfo) & mask) == mask) {
187                                 lock_guard<mutex> lock(mu);
188                                 subscribe_to_port_lock_held(seq, *snd_seq_port_info_get_addr(pinfo));
189                         }
190                 }
191         }
192
193         int num_alsa_fds = snd_seq_poll_descriptors_count(seq, POLLIN);
194         unique_ptr<pollfd[]> fds(new pollfd[num_alsa_fds + 1]);
195
196         while (!should_quit) {
197                 snd_seq_poll_descriptors(seq, fds.get(), num_alsa_fds, POLLIN);
198                 fds[num_alsa_fds].fd = should_quit_fd;
199                 fds[num_alsa_fds].events = POLLIN;
200                 fds[num_alsa_fds].revents = 0;
201
202                 err = poll(fds.get(), num_alsa_fds + 1, -1);
203                 if (err == 0 || (err == -1 && errno == EINTR)) {
204                         continue;
205                 }
206                 if (err == -1) {
207                         perror("poll");
208                         break;
209                 }
210                 if (fds[num_alsa_fds].revents) {
211                         // Activity on should_quit_fd.
212                         break;
213                 }
214
215                 // Seemingly we can get multiple events in a single poll,
216                 // and if we don't handle them all, poll will _not_ alert us!
217                 while (!should_quit) {
218                         snd_seq_event_t *event;
219                         err = snd_seq_event_input(seq, &event);
220                         if (err < 0) {
221                                 if (err == -EINTR) continue;
222                                 if (err == -EAGAIN) break;
223                                 fprintf(stderr, "snd_seq_event_input: %s\n", snd_strerror(err));
224                                 return;
225                         }
226                         if (event) {
227                                 handle_event(seq, event);
228                         }
229                 }
230         }
231 }
232
233 void MIDIMapper::handle_event(snd_seq_t *seq, snd_seq_event_t *event)
234 {
235         if (event->source.client == snd_seq_client_id(seq)) {
236                 // Ignore events we sent out ourselves.
237                 return;
238         }
239
240         lock_guard<mutex> lock(mu);
241         switch (event->type) {
242         case SND_SEQ_EVENT_CONTROLLER: {
243                 printf("Controller %d changed to %d\n", event->data.control.param, event->data.control.value);
244
245                 const int controller = event->data.control.param;
246                 const float value = map_controller_to_float(event->data.control.value);
247
248                 receiver->controller_changed(controller);
249
250                 // Global controllers.
251                 match_controller(controller, MIDIMappingBusProto::kLocutFieldNumber, MIDIMappingProto::kLocutBankFieldNumber,
252                         value, bind(&ControllerReceiver::set_locut, receiver, _2));
253                 match_controller(controller, MIDIMappingBusProto::kLimiterThresholdFieldNumber, MIDIMappingProto::kLimiterThresholdBankFieldNumber,
254                         value, bind(&ControllerReceiver::set_limiter_threshold, receiver, _2));
255                 match_controller(controller, MIDIMappingBusProto::kMakeupGainFieldNumber, MIDIMappingProto::kMakeupGainBankFieldNumber,
256                         value, bind(&ControllerReceiver::set_makeup_gain, receiver, _2));
257
258                 // Bus controllers.
259                 match_controller(controller, MIDIMappingBusProto::kTrebleFieldNumber, MIDIMappingProto::kTrebleBankFieldNumber,
260                         value, bind(&ControllerReceiver::set_treble, receiver, _1, _2));
261                 match_controller(controller, MIDIMappingBusProto::kMidFieldNumber, MIDIMappingProto::kMidBankFieldNumber,
262                         value, bind(&ControllerReceiver::set_mid, receiver, _1, _2));
263                 match_controller(controller, MIDIMappingBusProto::kBassFieldNumber, MIDIMappingProto::kBassBankFieldNumber,
264                         value, bind(&ControllerReceiver::set_bass, receiver, _1, _2));
265                 match_controller(controller, MIDIMappingBusProto::kGainFieldNumber, MIDIMappingProto::kGainBankFieldNumber,
266                         value, bind(&ControllerReceiver::set_gain, receiver, _1, _2));
267                 match_controller(controller, MIDIMappingBusProto::kCompressorThresholdFieldNumber, MIDIMappingProto::kCompressorThresholdBankFieldNumber,
268                         value, bind(&ControllerReceiver::set_compressor_threshold, receiver, _1, _2));
269                 match_controller(controller, MIDIMappingBusProto::kFaderFieldNumber, MIDIMappingProto::kFaderBankFieldNumber,
270                         value, bind(&ControllerReceiver::set_fader, receiver, _1, _2));
271                 break;
272         }
273         case SND_SEQ_EVENT_NOTEON: {
274                 const int note = event->data.note.note;
275
276                 receiver->note_on(note);
277
278                 printf("Note: %d\n", note);
279
280                 for (size_t bus_idx = 0; bus_idx < size_t(mapping_proto->bus_mapping_size()); ++bus_idx) {
281                         const MIDIMappingBusProto &bus_mapping = mapping_proto->bus_mapping(bus_idx);
282                         if (bus_mapping.has_prev_bank() &&
283                             bus_mapping.prev_bank().note_number() == note) {
284                                 current_controller_bank = (current_controller_bank + num_controller_banks - 1) % num_controller_banks;
285                                 update_highlights();
286                                 update_lights_lock_held();
287                         }
288                         if (bus_mapping.has_next_bank() &&
289                             bus_mapping.next_bank().note_number() == note) {
290                                 current_controller_bank = (current_controller_bank + 1) % num_controller_banks;
291                                 update_highlights();
292                                 update_lights_lock_held();
293                         }
294                         if (bus_mapping.has_select_bank_1() &&
295                             bus_mapping.select_bank_1().note_number() == note) {
296                                 current_controller_bank = 0;
297                                 update_highlights();
298                                 update_lights_lock_held();
299                         }
300                         if (bus_mapping.has_select_bank_2() &&
301                             bus_mapping.select_bank_2().note_number() == note &&
302                             num_controller_banks >= 2) {
303                                 current_controller_bank = 1;
304                                 update_highlights();
305                                 update_lights_lock_held();
306                         }
307                         if (bus_mapping.has_select_bank_3() &&
308                             bus_mapping.select_bank_3().note_number() == note &&
309                             num_controller_banks >= 3) {
310                                 current_controller_bank = 2;
311                                 update_highlights();
312                                 update_lights_lock_held();
313                         }
314                         if (bus_mapping.has_select_bank_4() &&
315                             bus_mapping.select_bank_4().note_number() == note &&
316                             num_controller_banks >= 4) {
317                                 current_controller_bank = 3;
318                                 update_highlights();
319                                 update_lights_lock_held();
320                         }
321                         if (bus_mapping.has_select_bank_5() &&
322                             bus_mapping.select_bank_5().note_number() == note &&
323                             num_controller_banks >= 5) {
324                                 current_controller_bank = 4;
325                                 update_highlights();
326                                 update_lights_lock_held();
327                         }
328                 }
329
330                 match_button(note, MIDIMappingBusProto::kToggleLocutFieldNumber, MIDIMappingProto::kToggleLocutBankFieldNumber,
331                         bind(&ControllerReceiver::toggle_locut, receiver, _1));
332                 match_button(note, MIDIMappingBusProto::kToggleAutoGainStagingFieldNumber, MIDIMappingProto::kToggleAutoGainStagingBankFieldNumber,
333                         bind(&ControllerReceiver::toggle_auto_gain_staging, receiver, _1));
334                 match_button(note, MIDIMappingBusProto::kToggleCompressorFieldNumber, MIDIMappingProto::kToggleCompressorBankFieldNumber,
335                         bind(&ControllerReceiver::toggle_compressor, receiver, _1));
336                 match_button(note, MIDIMappingBusProto::kClearPeakFieldNumber, MIDIMappingProto::kClearPeakBankFieldNumber,
337                         bind(&ControllerReceiver::clear_peak, receiver, _1));
338                 match_button(note, MIDIMappingBusProto::kToggleMuteFieldNumber, MIDIMappingProto::kClearPeakBankFieldNumber,
339                         bind(&ControllerReceiver::toggle_mute, receiver, _1));
340                 match_button(note, MIDIMappingBusProto::kToggleLimiterFieldNumber, MIDIMappingProto::kToggleLimiterBankFieldNumber,
341                         bind(&ControllerReceiver::toggle_limiter, receiver));
342                 match_button(note, MIDIMappingBusProto::kToggleAutoMakeupGainFieldNumber, MIDIMappingProto::kToggleAutoMakeupGainBankFieldNumber,
343                         bind(&ControllerReceiver::toggle_auto_makeup_gain, receiver));
344         }
345         case SND_SEQ_EVENT_PORT_START:
346                 subscribe_to_port_lock_held(seq, event->data.addr);
347                 break;
348         case SND_SEQ_EVENT_PORT_EXIT:
349                 printf("MIDI port %d:%d went away.\n", event->data.addr.client, event->data.addr.port);
350                 break;
351         case SND_SEQ_EVENT_PORT_SUBSCRIBED:
352                 if (event->data.connect.sender.client != 0 &&  // Ignore system senders.
353                     event->data.connect.sender.client != snd_seq_client_id(seq) &&
354                     event->data.connect.dest.client == snd_seq_client_id(seq)) {
355                         ++num_subscribed_ports;
356                         update_highlights();
357                 }
358                 break;
359         case SND_SEQ_EVENT_PORT_UNSUBSCRIBED:
360                 if (event->data.connect.sender.client != 0 &&  // Ignore system senders.
361                     event->data.connect.sender.client != snd_seq_client_id(seq) &&
362                     event->data.connect.dest.client == snd_seq_client_id(seq)) {
363                         --num_subscribed_ports;
364                         update_highlights();
365                 }
366                 break;
367         case SND_SEQ_EVENT_NOTEOFF:
368         case SND_SEQ_EVENT_CLIENT_START:
369         case SND_SEQ_EVENT_CLIENT_EXIT:
370         case SND_SEQ_EVENT_CLIENT_CHANGE:
371         case SND_SEQ_EVENT_PORT_CHANGE:
372                 break;
373         default:
374                 printf("Ignoring MIDI event of unknown type %d.\n", event->type);
375         }
376 }
377
378 void MIDIMapper::subscribe_to_port_lock_held(snd_seq_t *seq, const snd_seq_addr_t &addr)
379 {
380         // Client 0 is basically the system; ignore it.
381         if (addr.client == 0) {
382                 return;
383         }
384
385         int err = snd_seq_connect_from(seq, 0, addr.client, addr.port);
386         if (err < 0) {
387                 // Just print out a warning (i.e., don't die); it could
388                 // very well just be e.g. another application.
389                 printf("Couldn't subscribe to MIDI port %d:%d (%s).\n",
390                         addr.client, addr.port, snd_strerror(err));
391         } else {
392                 printf("Subscribed to MIDI port %d:%d.\n", addr.client, addr.port);
393         }
394
395         // For sending data back.
396         err = snd_seq_connect_to(seq, 0, addr.client, addr.port);
397         if (err < 0) {
398                 printf("Couldn't subscribe MIDI port %d:%d (%s) to us.\n",
399                         addr.client, addr.port, snd_strerror(err));
400         } else {
401                 printf("Subscribed MIDI port %d:%d to us.\n", addr.client, addr.port);
402         }
403
404         current_light_status.clear();  // The current state of the device is unknown.
405         update_lights_lock_held();
406 }
407
408 void MIDIMapper::match_controller(int controller, int field_number, int bank_field_number, float value, function<void(unsigned, float)> func)
409 {
410         if (bank_mismatch(bank_field_number)) {
411                 return;
412         }
413
414         for (size_t bus_idx = 0; bus_idx < size_t(mapping_proto->bus_mapping_size()); ++bus_idx) {
415                 const MIDIMappingBusProto &bus_mapping = mapping_proto->bus_mapping(bus_idx);
416
417                 const FieldDescriptor *descriptor = bus_mapping.GetDescriptor()->FindFieldByNumber(field_number);
418                 const Reflection *bus_reflection = bus_mapping.GetReflection();
419                 if (!bus_reflection->HasField(bus_mapping, descriptor)) {
420                         continue;
421                 }
422                 const MIDIControllerProto &controller_proto =
423                         static_cast<const MIDIControllerProto &>(bus_reflection->GetMessage(bus_mapping, descriptor));
424                 if (controller_proto.controller_number() == controller) {
425                         func(bus_idx, value);
426                 }
427         }
428 }
429
430 void MIDIMapper::match_button(int note, int field_number, int bank_field_number, function<void(unsigned)> func)
431 {
432         if (bank_mismatch(bank_field_number)) {
433                 return;
434         }
435
436         for (size_t bus_idx = 0; bus_idx < size_t(mapping_proto->bus_mapping_size()); ++bus_idx) {
437                 const MIDIMappingBusProto &bus_mapping = mapping_proto->bus_mapping(bus_idx);
438
439                 const FieldDescriptor *descriptor = bus_mapping.GetDescriptor()->FindFieldByNumber(field_number);
440                 const Reflection *bus_reflection = bus_mapping.GetReflection();
441                 if (!bus_reflection->HasField(bus_mapping, descriptor)) {
442                         continue;
443                 }
444                 const MIDIButtonProto &button_proto =
445                         static_cast<const MIDIButtonProto &>(bus_reflection->GetMessage(bus_mapping, descriptor));
446                 if (button_proto.note_number() == note) {
447                         func(bus_idx);
448                 }
449         }
450 }
451
452 bool MIDIMapper::has_active_controller(unsigned bus_idx, int field_number, int bank_field_number)
453 {
454         if (bank_mismatch(bank_field_number)) {
455                 return false;
456         }
457
458         const MIDIMappingBusProto &bus_mapping = mapping_proto->bus_mapping(bus_idx);
459         const FieldDescriptor *descriptor = bus_mapping.GetDescriptor()->FindFieldByNumber(field_number);
460         const Reflection *bus_reflection = bus_mapping.GetReflection();
461         return bus_reflection->HasField(bus_mapping, descriptor);
462 }
463
464 bool MIDIMapper::bank_mismatch(int bank_field_number)
465 {
466         const FieldDescriptor *bank_descriptor = mapping_proto->GetDescriptor()->FindFieldByNumber(bank_field_number);
467         const Reflection *reflection = mapping_proto->GetReflection();
468         return (reflection->HasField(*mapping_proto, bank_descriptor) &&
469                 reflection->GetInt32(*mapping_proto, bank_descriptor) != current_controller_bank);
470 }
471
472 void MIDIMapper::refresh_highlights()
473 {
474         receiver->clear_all_highlights();
475         update_highlights();
476 }
477
478 void MIDIMapper::refresh_lights()
479 {
480         lock_guard<mutex> lock(mu);
481         update_lights_lock_held();
482 }
483
484 void MIDIMapper::update_highlights()
485 {
486         if (num_subscribed_ports.load() == 0) {
487                 receiver->clear_all_highlights();
488                 return;
489         }
490
491         // Global controllers.
492         bool highlight_locut = false;
493         bool highlight_limiter_threshold = false;
494         bool highlight_makeup_gain = false;
495         bool highlight_toggle_limiter = false;
496         bool highlight_toggle_auto_makeup_gain = false;
497         for (size_t bus_idx = 0; bus_idx < size_t(mapping_proto->bus_mapping_size()); ++bus_idx) {
498                 if (has_active_controller(
499                         bus_idx, MIDIMappingBusProto::kLocutFieldNumber, MIDIMappingProto::kLocutBankFieldNumber)) {
500                         highlight_locut = true;
501                 }
502                 if (has_active_controller(
503                         bus_idx, MIDIMappingBusProto::kLimiterThresholdFieldNumber, MIDIMappingProto::kLimiterThresholdBankFieldNumber)) {
504                         highlight_limiter_threshold = true;
505                 }
506                 if (has_active_controller(
507                         bus_idx, MIDIMappingBusProto::kMakeupGainFieldNumber, MIDIMappingProto::kMakeupGainBankFieldNumber)) {
508                         highlight_makeup_gain = true;
509                 }
510                 if (has_active_controller(
511                         bus_idx, MIDIMappingBusProto::kToggleLimiterFieldNumber, MIDIMappingProto::kToggleLimiterBankFieldNumber)) {
512                         highlight_toggle_limiter = true;
513                 }
514                 if (has_active_controller(
515                         bus_idx, MIDIMappingBusProto::kToggleAutoMakeupGainFieldNumber, MIDIMappingProto::kToggleAutoMakeupGainBankFieldNumber)) {
516                         highlight_toggle_auto_makeup_gain = true;
517                 }
518         }
519         receiver->highlight_locut(highlight_locut);
520         receiver->highlight_limiter_threshold(highlight_limiter_threshold);
521         receiver->highlight_makeup_gain(highlight_makeup_gain);
522         receiver->highlight_toggle_limiter(highlight_toggle_limiter);
523         receiver->highlight_toggle_auto_makeup_gain(highlight_toggle_auto_makeup_gain);
524
525         // Per-bus controllers.
526         for (size_t bus_idx = 0; bus_idx < size_t(mapping_proto->bus_mapping_size()); ++bus_idx) {
527                 receiver->highlight_treble(bus_idx, has_active_controller(
528                         bus_idx, MIDIMappingBusProto::kTrebleFieldNumber, MIDIMappingProto::kTrebleBankFieldNumber));
529                 receiver->highlight_mid(bus_idx, has_active_controller(
530                         bus_idx, MIDIMappingBusProto::kMidFieldNumber, MIDIMappingProto::kMidBankFieldNumber));
531                 receiver->highlight_bass(bus_idx, has_active_controller(
532                         bus_idx, MIDIMappingBusProto::kBassFieldNumber, MIDIMappingProto::kBassBankFieldNumber));
533                 receiver->highlight_gain(bus_idx, has_active_controller(
534                         bus_idx, MIDIMappingBusProto::kGainFieldNumber, MIDIMappingProto::kGainBankFieldNumber));
535                 receiver->highlight_compressor_threshold(bus_idx, has_active_controller(
536                         bus_idx, MIDIMappingBusProto::kCompressorThresholdFieldNumber, MIDIMappingProto::kCompressorThresholdBankFieldNumber));
537                 receiver->highlight_fader(bus_idx, has_active_controller(
538                         bus_idx, MIDIMappingBusProto::kFaderFieldNumber, MIDIMappingProto::kFaderBankFieldNumber));
539                 receiver->highlight_mute(bus_idx, has_active_controller(
540                         bus_idx, MIDIMappingBusProto::kToggleMuteFieldNumber, MIDIMappingProto::kToggleMuteBankFieldNumber));
541                 receiver->highlight_toggle_locut(bus_idx, has_active_controller(
542                         bus_idx, MIDIMappingBusProto::kToggleLocutFieldNumber, MIDIMappingProto::kToggleLocutBankFieldNumber));
543                 receiver->highlight_toggle_auto_gain_staging(bus_idx, has_active_controller(
544                         bus_idx, MIDIMappingBusProto::kToggleAutoGainStagingFieldNumber, MIDIMappingProto::kToggleAutoGainStagingBankFieldNumber));
545                 receiver->highlight_toggle_compressor(bus_idx, has_active_controller(
546                         bus_idx, MIDIMappingBusProto::kToggleCompressorFieldNumber, MIDIMappingProto::kToggleCompressorBankFieldNumber));
547         }
548 }
549
550 void MIDIMapper::update_lights_lock_held()
551 {
552         if (alsa_seq == nullptr || global_audio_mixer == nullptr) {
553                 return;
554         }
555
556         set<unsigned> active_lights;  // Desired state.
557         if (current_controller_bank == 0) {
558                 activate_lights_all_buses(MIDIMappingBusProto::kBank1IsSelectedFieldNumber, &active_lights);
559         }
560         if (current_controller_bank == 1) {
561                 activate_lights_all_buses(MIDIMappingBusProto::kBank2IsSelectedFieldNumber, &active_lights);
562         }
563         if (current_controller_bank == 2) {
564                 activate_lights_all_buses(MIDIMappingBusProto::kBank3IsSelectedFieldNumber, &active_lights);
565         }
566         if (current_controller_bank == 3) {
567                 activate_lights_all_buses(MIDIMappingBusProto::kBank4IsSelectedFieldNumber, &active_lights);
568         }
569         if (current_controller_bank == 4) {
570                 activate_lights_all_buses(MIDIMappingBusProto::kBank5IsSelectedFieldNumber, &active_lights);
571         }
572         if (global_audio_mixer->get_limiter_enabled()) {
573                 activate_lights_all_buses(MIDIMappingBusProto::kLimiterIsOnFieldNumber, &active_lights);
574         }
575         if (global_audio_mixer->get_final_makeup_gain_auto()) {
576                 activate_lights_all_buses(MIDIMappingBusProto::kAutoMakeupGainIsOnFieldNumber, &active_lights);
577         }
578         unsigned num_buses = min<unsigned>(global_audio_mixer->num_buses(), mapping_proto->bus_mapping_size());
579         for (unsigned bus_idx = 0; bus_idx < num_buses; ++bus_idx) {
580                 if (global_audio_mixer->get_mute(bus_idx)) {
581                         activate_lights(bus_idx, MIDIMappingBusProto::kIsMutedFieldNumber, &active_lights);
582                 }
583                 if (global_audio_mixer->get_locut_enabled(bus_idx)) {
584                         activate_lights(bus_idx, MIDIMappingBusProto::kLocutIsOnFieldNumber, &active_lights);
585                 }
586                 if (global_audio_mixer->get_gain_staging_auto(bus_idx)) {
587                         activate_lights(bus_idx, MIDIMappingBusProto::kAutoGainStagingIsOnFieldNumber, &active_lights);
588                 }
589                 if (global_audio_mixer->get_compressor_enabled(bus_idx)) {
590                         activate_lights(bus_idx, MIDIMappingBusProto::kCompressorIsOnFieldNumber, &active_lights);
591                 }
592                 if (has_peaked[bus_idx]) {
593                         activate_lights(bus_idx, MIDIMappingBusProto::kHasPeakedFieldNumber, &active_lights);
594                 }
595         }
596
597         unsigned num_events = 0;
598         for (unsigned note_num = 1; note_num <= 127; ++note_num) {
599                 bool active = active_lights.count(note_num);
600                 if (current_light_status.count(note_num) &&
601                     current_light_status[note_num] == active) {
602                         // Already known to be in the desired state.
603                         continue;
604                 }
605
606                 snd_seq_event_t ev;
607                 snd_seq_ev_clear(&ev);
608
609                 // Some devices drop events if we throw them onto them
610                 // too quickly. Add a 1 ms delay for each.
611                 snd_seq_real_time_t tm{0, num_events++ * 1000000};
612                 snd_seq_ev_schedule_real(&ev, alsa_queue_id, true, &tm);
613                 snd_seq_ev_set_source(&ev, 0);
614                 snd_seq_ev_set_subs(&ev);
615
616                 // For some reason, not all devices respond to note off.
617                 // Use note-on with velocity of 0 (which is equivalent) instead.
618                 snd_seq_ev_set_noteon(&ev, /*channel=*/0, note_num, active ? 127 : 0);
619                 WARN_ON_ERROR("snd_seq_event_output", snd_seq_event_output(alsa_seq, &ev));
620                 current_light_status[note_num] = active;
621         }
622         WARN_ON_ERROR("snd_seq_drain_output", snd_seq_drain_output(alsa_seq));
623 }
624
625 void MIDIMapper::activate_lights(unsigned bus_idx, int field_number, set<unsigned> *active_lights)
626 {
627         const MIDIMappingBusProto &bus_mapping = mapping_proto->bus_mapping(bus_idx);
628
629         const FieldDescriptor *descriptor = bus_mapping.GetDescriptor()->FindFieldByNumber(field_number);
630         const Reflection *bus_reflection = bus_mapping.GetReflection();
631         if (!bus_reflection->HasField(bus_mapping, descriptor)) {
632                 return;
633         }
634         const MIDILightProto &light_proto =
635                 static_cast<const MIDILightProto &>(bus_reflection->GetMessage(bus_mapping, descriptor));
636         active_lights->insert(light_proto.note_number());
637 }
638
639 void MIDIMapper::activate_lights_all_buses(int field_number, set<unsigned> *active_lights)
640 {
641         for (size_t bus_idx = 0; bus_idx < size_t(mapping_proto->bus_mapping_size()); ++bus_idx) {
642                 const MIDIMappingBusProto &bus_mapping = mapping_proto->bus_mapping(bus_idx);
643
644                 const FieldDescriptor *descriptor = bus_mapping.GetDescriptor()->FindFieldByNumber(field_number);
645                 const Reflection *bus_reflection = bus_mapping.GetReflection();
646                 if (!bus_reflection->HasField(bus_mapping, descriptor)) {
647                         continue;
648                 }
649                 const MIDILightProto &light_proto =
650                         static_cast<const MIDILightProto &>(bus_reflection->GetMessage(bus_mapping, descriptor));
651                 active_lights->insert(light_proto.note_number());
652         }
653 }