]> git.sesse.net Git - nageru/blob - midi_mapper.cpp
Don't highlight any controllers if no MIDI controller is attached.
[nageru] / midi_mapper.cpp
1 #include "midi_mapper.h"
2
3 #include "audio_mixer.h"
4 #include "midi_mapping.pb.h"
5
6 #include <alsa/asoundlib.h>
7 #include <google/protobuf/text_format.h>
8 #include <google/protobuf/io/zero_copy_stream.h>
9 #include <google/protobuf/io/zero_copy_stream_impl.h>
10 #include <fcntl.h>
11 #include <sys/eventfd.h>
12
13 #include <functional>
14 #include <thread>
15
16 using namespace google::protobuf;
17 using namespace std;
18 using namespace std::placeholders;
19
20 namespace {
21
22 double map_controller_to_float(int val)
23 {
24         // Slightly hackish mapping so that we can represent exactly 0.0, 0.5 and 1.0.
25         if (val <= 0) {
26                 return 0.0;
27         } else if (val >= 127) {
28                 return 1.0;
29         } else {
30                 return (val + 0.5) / 127.0;
31         }
32 }
33
34 }  // namespace
35
36 MIDIMapper::MIDIMapper(ControllerReceiver *receiver)
37         : receiver(receiver), mapping_proto(new MIDIMappingProto)
38 {
39         should_quit_fd = eventfd(/*initval=*/0, /*flags=*/0);
40         assert(should_quit_fd != -1);
41         refresh_highlights();
42 }
43
44 MIDIMapper::~MIDIMapper()
45 {
46         should_quit = true;
47         const uint64_t one = 1;
48         write(should_quit_fd, &one, sizeof(one));
49         midi_thread.join();
50         close(should_quit_fd);
51 }
52
53 bool load_midi_mapping_from_file(const string &filename, MIDIMappingProto *new_mapping)
54 {
55         // Read and parse the protobuf from disk.
56         int fd = open(filename.c_str(), O_RDONLY);
57         if (fd == -1) {
58                 perror(filename.c_str());
59                 return false;
60         }
61         io::FileInputStream input(fd);  // Takes ownership of fd.
62         if (!TextFormat::Parse(&input, new_mapping)) {
63                 input.Close();
64                 return false;
65         }
66         input.Close();
67         return true;
68 }
69
70 bool save_midi_mapping_to_file(const MIDIMappingProto &mapping_proto, const string &filename)
71 {
72         // Save to disk. We use the text format because it's friendlier
73         // for a user to look at and edit.
74         int fd = open(filename.c_str(), O_WRONLY | O_TRUNC | O_CREAT, 0666);
75         if (fd == -1) {
76                 perror(filename.c_str());
77                 return false;
78         }
79         io::FileOutputStream output(fd);  // Takes ownership of fd.
80         if (!TextFormat::Print(mapping_proto, &output)) {
81                 // TODO: Don't overwrite the old file (if any) on error.
82                 output.Close();
83                 return false;
84         }
85
86         output.Close();
87         return true;
88 }
89
90 void MIDIMapper::set_midi_mapping(const MIDIMappingProto &new_mapping)
91 {
92         lock_guard<mutex> lock(mu);
93         if (mapping_proto) {
94                 mapping_proto->CopyFrom(new_mapping);
95         } else {
96                 mapping_proto.reset(new MIDIMappingProto(new_mapping));
97         }
98
99         num_controller_banks = min(max(mapping_proto->num_controller_banks(), 1), 5);
100         current_controller_bank = 0;
101
102         receiver->clear_all_highlights();
103         update_highlights();
104 }
105
106 void MIDIMapper::start_thread()
107 {
108         midi_thread = thread(&MIDIMapper::thread_func, this);
109 }
110
111 const MIDIMappingProto &MIDIMapper::get_current_mapping() const
112 {
113         lock_guard<mutex> lock(mu);
114         return *mapping_proto;
115 }
116
117 ControllerReceiver *MIDIMapper::set_receiver(ControllerReceiver *new_receiver)
118 {
119         lock_guard<mutex> lock(mu);
120         swap(receiver, new_receiver);
121         return new_receiver;  // Now old receiver.
122 }
123
124 #define RETURN_ON_ERROR(msg, expr) do {                            \
125         int err = (expr);                                          \
126         if (err < 0) {                                             \
127                 fprintf(stderr, msg ": %s\n", snd_strerror(err));  \
128                 return;                                            \
129         }                                                          \
130 } while (false)
131
132 #define WARN_ON_ERROR(msg, expr) do {                              \
133         int err = (expr);                                          \
134         if (err < 0) {                                             \
135                 fprintf(stderr, msg ": %s\n", snd_strerror(err));  \
136         }                                                          \
137 } while (false)
138
139
140 void MIDIMapper::thread_func()
141 {
142         snd_seq_t *seq;
143         int err;
144
145         RETURN_ON_ERROR("snd_seq_open", snd_seq_open(&seq, "default", SND_SEQ_OPEN_DUPLEX, 0));
146         RETURN_ON_ERROR("snd_seq_nonblock", snd_seq_nonblock(seq, 1));
147         RETURN_ON_ERROR("snd_seq_client_name", snd_seq_set_client_name(seq, "nageru"));
148         RETURN_ON_ERROR("snd_seq_create_simple_port",
149                 snd_seq_create_simple_port(seq, "nageru",
150                         SND_SEQ_PORT_CAP_READ |
151                                 SND_SEQ_PORT_CAP_SUBS_READ |
152                                 SND_SEQ_PORT_CAP_WRITE |
153                                 SND_SEQ_PORT_CAP_SUBS_WRITE,
154                         SND_SEQ_PORT_TYPE_MIDI_GENERIC |
155                                 SND_SEQ_PORT_TYPE_APPLICATION));
156
157         int queue_id = snd_seq_alloc_queue(seq);
158         RETURN_ON_ERROR("snd_seq_create_queue", queue_id);
159         RETURN_ON_ERROR("snd_seq_start_queue", snd_seq_start_queue(seq, queue_id, nullptr));
160
161         // The sequencer object is now ready to be used from other threads.
162         {
163                 lock_guard<mutex> lock(mu);
164                 alsa_seq = seq;
165                 alsa_queue_id = queue_id;
166         }
167
168         // Listen to the announce port (0:1), which will tell us about new ports.
169         RETURN_ON_ERROR("snd_seq_connect_from", snd_seq_connect_from(seq, 0, /*client=*/0, /*port=*/1));
170
171         // Now go through all ports and subscribe to them.
172         snd_seq_client_info_t *cinfo;
173         snd_seq_client_info_alloca(&cinfo);
174
175         snd_seq_client_info_set_client(cinfo, -1);
176         while (snd_seq_query_next_client(seq, cinfo) >= 0) {
177                 int client = snd_seq_client_info_get_client(cinfo);
178
179                 snd_seq_port_info_t *pinfo;
180                 snd_seq_port_info_alloca(&pinfo);
181
182                 snd_seq_port_info_set_client(pinfo, client);
183                 snd_seq_port_info_set_port(pinfo, -1);
184                 while (snd_seq_query_next_port(seq, pinfo) >= 0) {
185                         constexpr int mask = SND_SEQ_PORT_CAP_READ | SND_SEQ_PORT_CAP_SUBS_READ;
186                         if ((snd_seq_port_info_get_capability(pinfo) & mask) == mask) {
187                                 lock_guard<mutex> lock(mu);
188                                 subscribe_to_port_lock_held(seq, *snd_seq_port_info_get_addr(pinfo));
189                         }
190                 }
191         }
192
193         int num_alsa_fds = snd_seq_poll_descriptors_count(seq, POLLIN);
194         unique_ptr<pollfd[]> fds(new pollfd[num_alsa_fds + 1]);
195
196         while (!should_quit) {
197                 snd_seq_poll_descriptors(seq, fds.get(), num_alsa_fds, POLLIN);
198                 fds[num_alsa_fds].fd = should_quit_fd;
199                 fds[num_alsa_fds].events = POLLIN;
200                 fds[num_alsa_fds].revents = 0;
201
202                 err = poll(fds.get(), num_alsa_fds + 1, -1);
203                 if (err == 0 || (err == -1 && errno == EINTR)) {
204                         continue;
205                 }
206                 if (err == -1) {
207                         perror("poll");
208                         break;
209                 }
210                 if (fds[num_alsa_fds].revents) {
211                         // Activity on should_quit_fd.
212                         break;
213                 }
214
215                 // Seemingly we can get multiple events in a single poll,
216                 // and if we don't handle them all, poll will _not_ alert us!
217                 while (!should_quit) {
218                         snd_seq_event_t *event;
219                         err = snd_seq_event_input(seq, &event);
220                         if (err < 0) {
221                                 if (err == -EINTR) continue;
222                                 if (err == -EAGAIN) break;
223                                 fprintf(stderr, "snd_seq_event_input: %s\n", snd_strerror(err));
224                                 return;
225                         }
226                         if (event) {
227                                 handle_event(seq, event);
228                         }
229                 }
230         }
231 }
232
233 void MIDIMapper::handle_event(snd_seq_t *seq, snd_seq_event_t *event)
234 {
235         if (event->source.client == snd_seq_client_id(seq)) {
236                 // Ignore events we sent out ourselves.
237                 return;
238         }
239
240         lock_guard<mutex> lock(mu);
241         switch (event->type) {
242         case SND_SEQ_EVENT_CONTROLLER: {
243                 printf("Controller %d changed to %d\n", event->data.control.param, event->data.control.value);
244
245                 const int controller = event->data.control.param;
246                 const float value = map_controller_to_float(event->data.control.value);
247
248                 receiver->controller_changed(controller);
249
250                 // Global controllers.
251                 match_controller(controller, MIDIMappingBusProto::kLocutFieldNumber, MIDIMappingProto::kLocutBankFieldNumber,
252                         value, bind(&ControllerReceiver::set_locut, receiver, _2));
253                 match_controller(controller, MIDIMappingBusProto::kLimiterThresholdFieldNumber, MIDIMappingProto::kLimiterThresholdBankFieldNumber,
254                         value, bind(&ControllerReceiver::set_limiter_threshold, receiver, _2));
255                 match_controller(controller, MIDIMappingBusProto::kMakeupGainFieldNumber, MIDIMappingProto::kMakeupGainBankFieldNumber,
256                         value, bind(&ControllerReceiver::set_makeup_gain, receiver, _2));
257
258                 // Bus controllers.
259                 match_controller(controller, MIDIMappingBusProto::kTrebleFieldNumber, MIDIMappingProto::kTrebleBankFieldNumber,
260                         value, bind(&ControllerReceiver::set_treble, receiver, _1, _2));
261                 match_controller(controller, MIDIMappingBusProto::kMidFieldNumber, MIDIMappingProto::kMidBankFieldNumber,
262                         value, bind(&ControllerReceiver::set_mid, receiver, _1, _2));
263                 match_controller(controller, MIDIMappingBusProto::kBassFieldNumber, MIDIMappingProto::kBassBankFieldNumber,
264                         value, bind(&ControllerReceiver::set_bass, receiver, _1, _2));
265                 match_controller(controller, MIDIMappingBusProto::kGainFieldNumber, MIDIMappingProto::kGainBankFieldNumber,
266                         value, bind(&ControllerReceiver::set_gain, receiver, _1, _2));
267                 match_controller(controller, MIDIMappingBusProto::kCompressorThresholdFieldNumber, MIDIMappingProto::kCompressorThresholdBankFieldNumber,
268                         value, bind(&ControllerReceiver::set_compressor_threshold, receiver, _1, _2));
269                 match_controller(controller, MIDIMappingBusProto::kFaderFieldNumber, MIDIMappingProto::kFaderBankFieldNumber,
270                         value, bind(&ControllerReceiver::set_fader, receiver, _1, _2));
271                 break;
272         }
273         case SND_SEQ_EVENT_NOTEON: {
274                 const int note = event->data.note.note;
275
276                 receiver->note_on(note);
277
278                 printf("Note: %d\n", note);
279
280                 for (size_t bus_idx = 0; bus_idx < size_t(mapping_proto->bus_mapping_size()); ++bus_idx) {
281                         const MIDIMappingBusProto &bus_mapping = mapping_proto->bus_mapping(bus_idx);
282                         if (bus_mapping.has_prev_bank() &&
283                             bus_mapping.prev_bank().note_number() == note) {
284                                 current_controller_bank = (current_controller_bank + num_controller_banks - 1) % num_controller_banks;
285                                 update_highlights();
286                                 update_lights_lock_held();
287                         }
288                         if (bus_mapping.has_next_bank() &&
289                             bus_mapping.next_bank().note_number() == note) {
290                                 current_controller_bank = (current_controller_bank + 1) % num_controller_banks;
291                                 update_highlights();
292                                 update_lights_lock_held();
293                         }
294                         if (bus_mapping.has_select_bank_1() &&
295                             bus_mapping.select_bank_1().note_number() == note) {
296                                 current_controller_bank = 0;
297                                 update_highlights();
298                                 update_lights_lock_held();
299                         }
300                         if (bus_mapping.has_select_bank_2() &&
301                             bus_mapping.select_bank_2().note_number() == note &&
302                             num_controller_banks >= 2) {
303                                 current_controller_bank = 1;
304                                 update_highlights();
305                                 update_lights_lock_held();
306                         }
307                         if (bus_mapping.has_select_bank_3() &&
308                             bus_mapping.select_bank_3().note_number() == note &&
309                             num_controller_banks >= 3) {
310                                 current_controller_bank = 2;
311                                 update_highlights();
312                                 update_lights_lock_held();
313                         }
314                         if (bus_mapping.has_select_bank_4() &&
315                             bus_mapping.select_bank_4().note_number() == note &&
316                             num_controller_banks >= 4) {
317                                 current_controller_bank = 3;
318                                 update_highlights();
319                                 update_lights_lock_held();
320                         }
321                         if (bus_mapping.has_select_bank_5() &&
322                             bus_mapping.select_bank_5().note_number() == note &&
323                             num_controller_banks >= 5) {
324                                 current_controller_bank = 4;
325                                 update_highlights();
326                                 update_lights_lock_held();
327                         }
328                 }
329
330                 match_button(note, MIDIMappingBusProto::kToggleLocutFieldNumber, MIDIMappingProto::kToggleLocutBankFieldNumber,
331                         bind(&ControllerReceiver::toggle_locut, receiver, _1));
332                 match_button(note, MIDIMappingBusProto::kToggleAutoGainStagingFieldNumber, MIDIMappingProto::kToggleAutoGainStagingBankFieldNumber,
333                         bind(&ControllerReceiver::toggle_auto_gain_staging, receiver, _1));
334                 match_button(note, MIDIMappingBusProto::kToggleCompressorFieldNumber, MIDIMappingProto::kToggleCompressorBankFieldNumber,
335                         bind(&ControllerReceiver::toggle_compressor, receiver, _1));
336                 match_button(note, MIDIMappingBusProto::kClearPeakFieldNumber, MIDIMappingProto::kClearPeakBankFieldNumber,
337                         bind(&ControllerReceiver::clear_peak, receiver, _1));
338                 match_button(note, MIDIMappingBusProto::kToggleLimiterFieldNumber, MIDIMappingProto::kToggleLimiterBankFieldNumber,
339                         bind(&ControllerReceiver::toggle_limiter, receiver));
340                 match_button(note, MIDIMappingBusProto::kToggleAutoMakeupGainFieldNumber, MIDIMappingProto::kToggleAutoMakeupGainBankFieldNumber,
341                         bind(&ControllerReceiver::toggle_auto_makeup_gain, receiver));
342         }
343         case SND_SEQ_EVENT_PORT_START:
344                 subscribe_to_port_lock_held(seq, event->data.addr);
345                 break;
346         case SND_SEQ_EVENT_PORT_EXIT:
347                 printf("MIDI port %d:%d went away.\n", event->data.addr.client, event->data.addr.port);
348                 break;
349         case SND_SEQ_EVENT_PORT_SUBSCRIBED:
350                 if (event->data.connect.sender.client != 0 &&  // Ignore system senders.
351                     event->data.connect.sender.client != snd_seq_client_id(seq) &&
352                     event->data.connect.dest.client == snd_seq_client_id(seq)) {
353                         ++num_subscribed_ports;
354                         update_highlights();
355                 }
356                 break;
357         case SND_SEQ_EVENT_PORT_UNSUBSCRIBED:
358                 if (event->data.connect.sender.client != 0 &&  // Ignore system senders.
359                     event->data.connect.sender.client != snd_seq_client_id(seq) &&
360                     event->data.connect.dest.client == snd_seq_client_id(seq)) {
361                         --num_subscribed_ports;
362                         update_highlights();
363                 }
364                 break;
365         case SND_SEQ_EVENT_NOTEOFF:
366         case SND_SEQ_EVENT_CLIENT_START:
367         case SND_SEQ_EVENT_CLIENT_EXIT:
368         case SND_SEQ_EVENT_CLIENT_CHANGE:
369         case SND_SEQ_EVENT_PORT_CHANGE:
370                 break;
371         default:
372                 printf("Ignoring MIDI event of unknown type %d.\n", event->type);
373         }
374 }
375
376 void MIDIMapper::subscribe_to_port_lock_held(snd_seq_t *seq, const snd_seq_addr_t &addr)
377 {
378         // Client 0 is basically the system; ignore it.
379         if (addr.client == 0) {
380                 return;
381         }
382
383         int err = snd_seq_connect_from(seq, 0, addr.client, addr.port);
384         if (err < 0) {
385                 // Just print out a warning (i.e., don't die); it could
386                 // very well just be e.g. another application.
387                 printf("Couldn't subscribe to MIDI port %d:%d (%s).\n",
388                         addr.client, addr.port, snd_strerror(err));
389         } else {
390                 printf("Subscribed to MIDI port %d:%d.\n", addr.client, addr.port);
391         }
392
393         // For sending data back.
394         err = snd_seq_connect_to(seq, 0, addr.client, addr.port);
395         if (err < 0) {
396                 printf("Couldn't subscribe MIDI port %d:%d (%s) to us.\n",
397                         addr.client, addr.port, snd_strerror(err));
398         } else {
399                 printf("Subscribed MIDI port %d:%d to us.\n", addr.client, addr.port);
400         }
401
402         current_light_status.clear();  // The current state of the device is unknown.
403         update_lights_lock_held();
404 }
405
406 void MIDIMapper::match_controller(int controller, int field_number, int bank_field_number, float value, function<void(unsigned, float)> func)
407 {
408         if (bank_mismatch(bank_field_number)) {
409                 return;
410         }
411
412         for (size_t bus_idx = 0; bus_idx < size_t(mapping_proto->bus_mapping_size()); ++bus_idx) {
413                 const MIDIMappingBusProto &bus_mapping = mapping_proto->bus_mapping(bus_idx);
414
415                 const FieldDescriptor *descriptor = bus_mapping.GetDescriptor()->FindFieldByNumber(field_number);
416                 const Reflection *bus_reflection = bus_mapping.GetReflection();
417                 if (!bus_reflection->HasField(bus_mapping, descriptor)) {
418                         continue;
419                 }
420                 const MIDIControllerProto &controller_proto =
421                         static_cast<const MIDIControllerProto &>(bus_reflection->GetMessage(bus_mapping, descriptor));
422                 if (controller_proto.controller_number() == controller) {
423                         func(bus_idx, value);
424                 }
425         }
426 }
427
428 void MIDIMapper::match_button(int note, int field_number, int bank_field_number, function<void(unsigned)> func)
429 {
430         if (bank_mismatch(bank_field_number)) {
431                 return;
432         }
433
434         for (size_t bus_idx = 0; bus_idx < size_t(mapping_proto->bus_mapping_size()); ++bus_idx) {
435                 const MIDIMappingBusProto &bus_mapping = mapping_proto->bus_mapping(bus_idx);
436
437                 const FieldDescriptor *descriptor = bus_mapping.GetDescriptor()->FindFieldByNumber(field_number);
438                 const Reflection *bus_reflection = bus_mapping.GetReflection();
439                 if (!bus_reflection->HasField(bus_mapping, descriptor)) {
440                         continue;
441                 }
442                 const MIDIButtonProto &button_proto =
443                         static_cast<const MIDIButtonProto &>(bus_reflection->GetMessage(bus_mapping, descriptor));
444                 if (button_proto.note_number() == note) {
445                         func(bus_idx);
446                 }
447         }
448 }
449
450 bool MIDIMapper::has_active_controller(unsigned bus_idx, int field_number, int bank_field_number)
451 {
452         if (bank_mismatch(bank_field_number)) {
453                 return false;
454         }
455
456         const MIDIMappingBusProto &bus_mapping = mapping_proto->bus_mapping(bus_idx);
457         const FieldDescriptor *descriptor = bus_mapping.GetDescriptor()->FindFieldByNumber(field_number);
458         const Reflection *bus_reflection = bus_mapping.GetReflection();
459         return bus_reflection->HasField(bus_mapping, descriptor);
460 }
461
462 bool MIDIMapper::bank_mismatch(int bank_field_number)
463 {
464         const FieldDescriptor *bank_descriptor = mapping_proto->GetDescriptor()->FindFieldByNumber(bank_field_number);
465         const Reflection *reflection = mapping_proto->GetReflection();
466         return (reflection->HasField(*mapping_proto, bank_descriptor) &&
467                 reflection->GetInt32(*mapping_proto, bank_descriptor) != current_controller_bank);
468 }
469
470 void MIDIMapper::refresh_highlights()
471 {
472         receiver->clear_all_highlights();
473         update_highlights();
474 }
475
476 void MIDIMapper::refresh_lights()
477 {
478         lock_guard<mutex> lock(mu);
479         update_lights_lock_held();
480 }
481
482 void MIDIMapper::update_highlights()
483 {
484         if (num_subscribed_ports.load() == 0) {
485                 receiver->clear_all_highlights();
486                 return;
487         }
488
489         // Global controllers.
490         bool highlight_locut = false;
491         bool highlight_limiter_threshold = false;
492         bool highlight_makeup_gain = false;
493         bool highlight_toggle_limiter = false;
494         bool highlight_toggle_auto_makeup_gain = false;
495         for (size_t bus_idx = 0; bus_idx < size_t(mapping_proto->bus_mapping_size()); ++bus_idx) {
496                 if (has_active_controller(
497                         bus_idx, MIDIMappingBusProto::kLocutFieldNumber, MIDIMappingProto::kLocutBankFieldNumber)) {
498                         highlight_locut = true;
499                 }
500                 if (has_active_controller(
501                         bus_idx, MIDIMappingBusProto::kLimiterThresholdFieldNumber, MIDIMappingProto::kLimiterThresholdBankFieldNumber)) {
502                         highlight_limiter_threshold = true;
503                 }
504                 if (has_active_controller(
505                         bus_idx, MIDIMappingBusProto::kMakeupGainFieldNumber, MIDIMappingProto::kMakeupGainBankFieldNumber)) {
506                         highlight_makeup_gain = true;
507                 }
508                 if (has_active_controller(
509                         bus_idx, MIDIMappingBusProto::kToggleLimiterFieldNumber, MIDIMappingProto::kToggleLimiterBankFieldNumber)) {
510                         highlight_toggle_limiter = true;
511                 }
512                 if (has_active_controller(
513                         bus_idx, MIDIMappingBusProto::kToggleAutoMakeupGainFieldNumber, MIDIMappingProto::kToggleAutoMakeupGainBankFieldNumber)) {
514                         highlight_toggle_auto_makeup_gain = true;
515                 }
516         }
517         receiver->highlight_locut(highlight_locut);
518         receiver->highlight_limiter_threshold(highlight_limiter_threshold);
519         receiver->highlight_makeup_gain(highlight_makeup_gain);
520         receiver->highlight_toggle_limiter(highlight_toggle_limiter);
521         receiver->highlight_toggle_auto_makeup_gain(highlight_toggle_auto_makeup_gain);
522
523         // Per-bus controllers.
524         for (size_t bus_idx = 0; bus_idx < size_t(mapping_proto->bus_mapping_size()); ++bus_idx) {
525                 receiver->highlight_treble(bus_idx, has_active_controller(
526                         bus_idx, MIDIMappingBusProto::kTrebleFieldNumber, MIDIMappingProto::kTrebleBankFieldNumber));
527                 receiver->highlight_mid(bus_idx, has_active_controller(
528                         bus_idx, MIDIMappingBusProto::kMidFieldNumber, MIDIMappingProto::kMidBankFieldNumber));
529                 receiver->highlight_bass(bus_idx, has_active_controller(
530                         bus_idx, MIDIMappingBusProto::kBassFieldNumber, MIDIMappingProto::kBassBankFieldNumber));
531                 receiver->highlight_gain(bus_idx, has_active_controller(
532                         bus_idx, MIDIMappingBusProto::kGainFieldNumber, MIDIMappingProto::kGainBankFieldNumber));
533                 receiver->highlight_compressor_threshold(bus_idx, has_active_controller(
534                         bus_idx, MIDIMappingBusProto::kCompressorThresholdFieldNumber, MIDIMappingProto::kCompressorThresholdBankFieldNumber));
535                 receiver->highlight_fader(bus_idx, has_active_controller(
536                         bus_idx, MIDIMappingBusProto::kFaderFieldNumber, MIDIMappingProto::kFaderBankFieldNumber));
537                 receiver->highlight_toggle_locut(bus_idx, has_active_controller(
538                         bus_idx, MIDIMappingBusProto::kToggleLocutFieldNumber, MIDIMappingProto::kToggleLocutBankFieldNumber));
539                 receiver->highlight_toggle_auto_gain_staging(bus_idx, has_active_controller(
540                         bus_idx, MIDIMappingBusProto::kToggleAutoGainStagingFieldNumber, MIDIMappingProto::kToggleAutoGainStagingBankFieldNumber));
541                 receiver->highlight_toggle_compressor(bus_idx, has_active_controller(
542                         bus_idx, MIDIMappingBusProto::kToggleCompressorFieldNumber, MIDIMappingProto::kToggleCompressorBankFieldNumber));
543         }
544 }
545
546 void MIDIMapper::update_lights_lock_held()
547 {
548         if (alsa_seq == nullptr || global_audio_mixer == nullptr) {
549                 return;
550         }
551
552         set<unsigned> active_lights;  // Desired state.
553         if (current_controller_bank == 0) {
554                 activate_lights_all_buses(MIDIMappingBusProto::kBank1IsSelectedFieldNumber, &active_lights);
555         }
556         if (current_controller_bank == 1) {
557                 activate_lights_all_buses(MIDIMappingBusProto::kBank2IsSelectedFieldNumber, &active_lights);
558         }
559         if (current_controller_bank == 2) {
560                 activate_lights_all_buses(MIDIMappingBusProto::kBank3IsSelectedFieldNumber, &active_lights);
561         }
562         if (current_controller_bank == 3) {
563                 activate_lights_all_buses(MIDIMappingBusProto::kBank4IsSelectedFieldNumber, &active_lights);
564         }
565         if (current_controller_bank == 4) {
566                 activate_lights_all_buses(MIDIMappingBusProto::kBank5IsSelectedFieldNumber, &active_lights);
567         }
568         if (global_audio_mixer->get_limiter_enabled()) {
569                 activate_lights_all_buses(MIDIMappingBusProto::kLimiterIsOnFieldNumber, &active_lights);
570         }
571         if (global_audio_mixer->get_final_makeup_gain_auto()) {
572                 activate_lights_all_buses(MIDIMappingBusProto::kAutoMakeupGainIsOnFieldNumber, &active_lights);
573         }
574         unsigned num_buses = min<unsigned>(global_audio_mixer->num_buses(), mapping_proto->bus_mapping_size());
575         for (unsigned bus_idx = 0; bus_idx < num_buses; ++bus_idx) {
576                 if (global_audio_mixer->get_locut_enabled(bus_idx)) {
577                         activate_lights(bus_idx, MIDIMappingBusProto::kLocutIsOnFieldNumber, &active_lights);
578                 }
579                 if (global_audio_mixer->get_gain_staging_auto(bus_idx)) {
580                         activate_lights(bus_idx, MIDIMappingBusProto::kAutoGainStagingIsOnFieldNumber, &active_lights);
581                 }
582                 if (global_audio_mixer->get_compressor_enabled(bus_idx)) {
583                         activate_lights(bus_idx, MIDIMappingBusProto::kCompressorIsOnFieldNumber, &active_lights);
584                 }
585                 if (has_peaked[bus_idx]) {
586                         activate_lights(bus_idx, MIDIMappingBusProto::kHasPeakedFieldNumber, &active_lights);
587                 }
588         }
589
590         unsigned num_events = 0;
591         for (unsigned note_num = 1; note_num <= 127; ++note_num) {
592                 bool active = active_lights.count(note_num);
593                 if (current_light_status.count(note_num) &&
594                     current_light_status[note_num] == active) {
595                         // Already known to be in the desired state.
596                         continue;
597                 }
598
599                 snd_seq_event_t ev;
600                 snd_seq_ev_clear(&ev);
601
602                 // Some devices drop events if we throw them onto them
603                 // too quickly. Add a 1 ms delay for each.
604                 snd_seq_real_time_t tm{0, num_events++ * 1000000};
605                 snd_seq_ev_schedule_real(&ev, alsa_queue_id, true, &tm);
606                 snd_seq_ev_set_source(&ev, 0);
607                 snd_seq_ev_set_subs(&ev);
608
609                 // For some reason, not all devices respond to note off.
610                 // Use note-on with velocity of 0 (which is equivalent) instead.
611                 snd_seq_ev_set_noteon(&ev, /*channel=*/0, note_num, active ? 127 : 0);
612                 WARN_ON_ERROR("snd_seq_event_output", snd_seq_event_output(alsa_seq, &ev));
613                 current_light_status[note_num] = active;
614         }
615         WARN_ON_ERROR("snd_seq_drain_output", snd_seq_drain_output(alsa_seq));
616 }
617
618 void MIDIMapper::activate_lights(unsigned bus_idx, int field_number, set<unsigned> *active_lights)
619 {
620         const MIDIMappingBusProto &bus_mapping = mapping_proto->bus_mapping(bus_idx);
621
622         const FieldDescriptor *descriptor = bus_mapping.GetDescriptor()->FindFieldByNumber(field_number);
623         const Reflection *bus_reflection = bus_mapping.GetReflection();
624         if (!bus_reflection->HasField(bus_mapping, descriptor)) {
625                 return;
626         }
627         const MIDILightProto &light_proto =
628                 static_cast<const MIDILightProto &>(bus_reflection->GetMessage(bus_mapping, descriptor));
629         active_lights->insert(light_proto.note_number());
630 }
631
632 void MIDIMapper::activate_lights_all_buses(int field_number, set<unsigned> *active_lights)
633 {
634         for (size_t bus_idx = 0; bus_idx < size_t(mapping_proto->bus_mapping_size()); ++bus_idx) {
635                 const MIDIMappingBusProto &bus_mapping = mapping_proto->bus_mapping(bus_idx);
636
637                 const FieldDescriptor *descriptor = bus_mapping.GetDescriptor()->FindFieldByNumber(field_number);
638                 const Reflection *bus_reflection = bus_mapping.GetReflection();
639                 if (!bus_reflection->HasField(bus_mapping, descriptor)) {
640                         continue;
641                 }
642                 const MIDILightProto &light_proto =
643                         static_cast<const MIDILightProto &>(bus_reflection->GetMessage(bus_mapping, descriptor));
644                 active_lights->insert(light_proto.note_number());
645         }
646 }