]> git.sesse.net Git - nageru/blob - midi_mapper.cpp
Give all of our threads meaningful names, to aid with debugging.
[nageru] / midi_mapper.cpp
1 #include "midi_mapper.h"
2
3 #include <alsa/asoundlib.h>
4 #include <assert.h>
5 #include <errno.h>
6 #include <fcntl.h>
7 #include <google/protobuf/descriptor.h>
8 #include <google/protobuf/io/zero_copy_stream_impl.h>
9 #include <google/protobuf/message.h>
10 #include <google/protobuf/text_format.h>
11 #include <pthread.h>
12 #include <poll.h>
13 #include <stdint.h>
14 #include <stdio.h>
15 #include <sys/eventfd.h>
16 #include <unistd.h>
17 #include <algorithm>
18 #include <functional>
19 #include <thread>
20
21 #include "audio_mixer.h"
22 #include "midi_mapping.pb.h"
23
24 using namespace google::protobuf;
25 using namespace std;
26 using namespace std::placeholders;
27
28 namespace {
29
30 double map_controller_to_float(int val)
31 {
32         // Slightly hackish mapping so that we can represent exactly 0.0, 0.5 and 1.0.
33         if (val <= 0) {
34                 return 0.0;
35         } else if (val >= 127) {
36                 return 1.0;
37         } else {
38                 return (val + 0.5) / 127.0;
39         }
40 }
41
42 }  // namespace
43
44 MIDIMapper::MIDIMapper(ControllerReceiver *receiver)
45         : receiver(receiver), mapping_proto(new MIDIMappingProto)
46 {
47         should_quit_fd = eventfd(/*initval=*/0, /*flags=*/0);
48         assert(should_quit_fd != -1);
49 }
50
51 MIDIMapper::~MIDIMapper()
52 {
53         should_quit = true;
54         const uint64_t one = 1;
55         if (write(should_quit_fd, &one, sizeof(one)) != sizeof(one)) {
56                 perror("write(should_quit_fd)");
57                 exit(1);
58         }
59         midi_thread.join();
60         close(should_quit_fd);
61 }
62
63 bool load_midi_mapping_from_file(const string &filename, MIDIMappingProto *new_mapping)
64 {
65         // Read and parse the protobuf from disk.
66         int fd = open(filename.c_str(), O_RDONLY);
67         if (fd == -1) {
68                 perror(filename.c_str());
69                 return false;
70         }
71         io::FileInputStream input(fd);  // Takes ownership of fd.
72         if (!TextFormat::Parse(&input, new_mapping)) {
73                 input.Close();
74                 return false;
75         }
76         input.Close();
77         return true;
78 }
79
80 bool save_midi_mapping_to_file(const MIDIMappingProto &mapping_proto, const string &filename)
81 {
82         // Save to disk. We use the text format because it's friendlier
83         // for a user to look at and edit.
84         int fd = open(filename.c_str(), O_WRONLY | O_TRUNC | O_CREAT, 0666);
85         if (fd == -1) {
86                 perror(filename.c_str());
87                 return false;
88         }
89         io::FileOutputStream output(fd);  // Takes ownership of fd.
90         if (!TextFormat::Print(mapping_proto, &output)) {
91                 // TODO: Don't overwrite the old file (if any) on error.
92                 output.Close();
93                 return false;
94         }
95
96         output.Close();
97         return true;
98 }
99
100 void MIDIMapper::set_midi_mapping(const MIDIMappingProto &new_mapping)
101 {
102         lock_guard<mutex> lock(mu);
103         if (mapping_proto) {
104                 mapping_proto->CopyFrom(new_mapping);
105         } else {
106                 mapping_proto.reset(new MIDIMappingProto(new_mapping));
107         }
108
109         num_controller_banks = min(max(mapping_proto->num_controller_banks(), 1), 5);
110         current_controller_bank = 0;
111
112         receiver->clear_all_highlights();
113         update_highlights();
114 }
115
116 void MIDIMapper::start_thread()
117 {
118         midi_thread = thread(&MIDIMapper::thread_func, this);
119 }
120
121 const MIDIMappingProto &MIDIMapper::get_current_mapping() const
122 {
123         lock_guard<mutex> lock(mu);
124         return *mapping_proto;
125 }
126
127 ControllerReceiver *MIDIMapper::set_receiver(ControllerReceiver *new_receiver)
128 {
129         lock_guard<mutex> lock(mu);
130         swap(receiver, new_receiver);
131         return new_receiver;  // Now old receiver.
132 }
133
134 #define RETURN_ON_ERROR(msg, expr) do {                            \
135         int err = (expr);                                          \
136         if (err < 0) {                                             \
137                 fprintf(stderr, msg ": %s\n", snd_strerror(err));  \
138                 return;                                            \
139         }                                                          \
140 } while (false)
141
142 #define WARN_ON_ERROR(msg, expr) do {                              \
143         int err = (expr);                                          \
144         if (err < 0) {                                             \
145                 fprintf(stderr, msg ": %s\n", snd_strerror(err));  \
146         }                                                          \
147 } while (false)
148
149
150 void MIDIMapper::thread_func()
151 {
152         pthread_setname_np(pthread_self(), "MIDIMapper");
153
154         snd_seq_t *seq;
155         int err;
156
157         RETURN_ON_ERROR("snd_seq_open", snd_seq_open(&seq, "default", SND_SEQ_OPEN_DUPLEX, 0));
158         RETURN_ON_ERROR("snd_seq_nonblock", snd_seq_nonblock(seq, 1));
159         RETURN_ON_ERROR("snd_seq_client_name", snd_seq_set_client_name(seq, "nageru"));
160         RETURN_ON_ERROR("snd_seq_create_simple_port",
161                 snd_seq_create_simple_port(seq, "nageru",
162                         SND_SEQ_PORT_CAP_READ |
163                                 SND_SEQ_PORT_CAP_SUBS_READ |
164                                 SND_SEQ_PORT_CAP_WRITE |
165                                 SND_SEQ_PORT_CAP_SUBS_WRITE,
166                         SND_SEQ_PORT_TYPE_MIDI_GENERIC |
167                                 SND_SEQ_PORT_TYPE_APPLICATION));
168
169         int queue_id = snd_seq_alloc_queue(seq);
170         RETURN_ON_ERROR("snd_seq_create_queue", queue_id);
171         RETURN_ON_ERROR("snd_seq_start_queue", snd_seq_start_queue(seq, queue_id, nullptr));
172
173         // The sequencer object is now ready to be used from other threads.
174         {
175                 lock_guard<mutex> lock(mu);
176                 alsa_seq = seq;
177                 alsa_queue_id = queue_id;
178         }
179
180         // Listen to the announce port (0:1), which will tell us about new ports.
181         RETURN_ON_ERROR("snd_seq_connect_from", snd_seq_connect_from(seq, 0, /*client=*/0, /*port=*/1));
182
183         // Now go through all ports and subscribe to them.
184         snd_seq_client_info_t *cinfo;
185         snd_seq_client_info_alloca(&cinfo);
186
187         snd_seq_client_info_set_client(cinfo, -1);
188         while (snd_seq_query_next_client(seq, cinfo) >= 0) {
189                 int client = snd_seq_client_info_get_client(cinfo);
190
191                 snd_seq_port_info_t *pinfo;
192                 snd_seq_port_info_alloca(&pinfo);
193
194                 snd_seq_port_info_set_client(pinfo, client);
195                 snd_seq_port_info_set_port(pinfo, -1);
196                 while (snd_seq_query_next_port(seq, pinfo) >= 0) {
197                         constexpr int mask = SND_SEQ_PORT_CAP_READ | SND_SEQ_PORT_CAP_SUBS_READ;
198                         if ((snd_seq_port_info_get_capability(pinfo) & mask) == mask) {
199                                 lock_guard<mutex> lock(mu);
200                                 subscribe_to_port_lock_held(seq, *snd_seq_port_info_get_addr(pinfo));
201                         }
202                 }
203         }
204
205         int num_alsa_fds = snd_seq_poll_descriptors_count(seq, POLLIN);
206         unique_ptr<pollfd[]> fds(new pollfd[num_alsa_fds + 1]);
207
208         while (!should_quit) {
209                 snd_seq_poll_descriptors(seq, fds.get(), num_alsa_fds, POLLIN);
210                 fds[num_alsa_fds].fd = should_quit_fd;
211                 fds[num_alsa_fds].events = POLLIN;
212                 fds[num_alsa_fds].revents = 0;
213
214                 err = poll(fds.get(), num_alsa_fds + 1, -1);
215                 if (err == 0 || (err == -1 && errno == EINTR)) {
216                         continue;
217                 }
218                 if (err == -1) {
219                         perror("poll");
220                         break;
221                 }
222                 if (fds[num_alsa_fds].revents) {
223                         // Activity on should_quit_fd.
224                         break;
225                 }
226
227                 // Seemingly we can get multiple events in a single poll,
228                 // and if we don't handle them all, poll will _not_ alert us!
229                 while (!should_quit) {
230                         snd_seq_event_t *event;
231                         err = snd_seq_event_input(seq, &event);
232                         if (err < 0) {
233                                 if (err == -EINTR) continue;
234                                 if (err == -EAGAIN) break;
235                                 fprintf(stderr, "snd_seq_event_input: %s\n", snd_strerror(err));
236                                 return;
237                         }
238                         if (event) {
239                                 handle_event(seq, event);
240                         }
241                 }
242         }
243 }
244
245 void MIDIMapper::handle_event(snd_seq_t *seq, snd_seq_event_t *event)
246 {
247         if (event->source.client == snd_seq_client_id(seq)) {
248                 // Ignore events we sent out ourselves.
249                 return;
250         }
251
252         lock_guard<mutex> lock(mu);
253         switch (event->type) {
254         case SND_SEQ_EVENT_CONTROLLER: {
255                 const int controller = event->data.control.param;
256                 const float value = map_controller_to_float(event->data.control.value);
257
258                 receiver->controller_changed(controller);
259
260                 // Global controllers.
261                 match_controller(controller, MIDIMappingBusProto::kLocutFieldNumber, MIDIMappingProto::kLocutBankFieldNumber,
262                         value, bind(&ControllerReceiver::set_locut, receiver, _2));
263                 match_controller(controller, MIDIMappingBusProto::kLimiterThresholdFieldNumber, MIDIMappingProto::kLimiterThresholdBankFieldNumber,
264                         value, bind(&ControllerReceiver::set_limiter_threshold, receiver, _2));
265                 match_controller(controller, MIDIMappingBusProto::kMakeupGainFieldNumber, MIDIMappingProto::kMakeupGainBankFieldNumber,
266                         value, bind(&ControllerReceiver::set_makeup_gain, receiver, _2));
267
268                 // Bus controllers.
269                 match_controller(controller, MIDIMappingBusProto::kTrebleFieldNumber, MIDIMappingProto::kTrebleBankFieldNumber,
270                         value, bind(&ControllerReceiver::set_treble, receiver, _1, _2));
271                 match_controller(controller, MIDIMappingBusProto::kMidFieldNumber, MIDIMappingProto::kMidBankFieldNumber,
272                         value, bind(&ControllerReceiver::set_mid, receiver, _1, _2));
273                 match_controller(controller, MIDIMappingBusProto::kBassFieldNumber, MIDIMappingProto::kBassBankFieldNumber,
274                         value, bind(&ControllerReceiver::set_bass, receiver, _1, _2));
275                 match_controller(controller, MIDIMappingBusProto::kGainFieldNumber, MIDIMappingProto::kGainBankFieldNumber,
276                         value, bind(&ControllerReceiver::set_gain, receiver, _1, _2));
277                 match_controller(controller, MIDIMappingBusProto::kCompressorThresholdFieldNumber, MIDIMappingProto::kCompressorThresholdBankFieldNumber,
278                         value, bind(&ControllerReceiver::set_compressor_threshold, receiver, _1, _2));
279                 match_controller(controller, MIDIMappingBusProto::kFaderFieldNumber, MIDIMappingProto::kFaderBankFieldNumber,
280                         value, bind(&ControllerReceiver::set_fader, receiver, _1, _2));
281                 break;
282         }
283         case SND_SEQ_EVENT_NOTEON: {
284                 const int note = event->data.note.note;
285
286                 receiver->note_on(note);
287
288                 for (size_t bus_idx = 0; bus_idx < size_t(mapping_proto->bus_mapping_size()); ++bus_idx) {
289                         const MIDIMappingBusProto &bus_mapping = mapping_proto->bus_mapping(bus_idx);
290                         if (bus_mapping.has_prev_bank() &&
291                             bus_mapping.prev_bank().note_number() == note) {
292                                 current_controller_bank = (current_controller_bank + num_controller_banks - 1) % num_controller_banks;
293                                 update_highlights();
294                                 update_lights_lock_held();
295                         }
296                         if (bus_mapping.has_next_bank() &&
297                             bus_mapping.next_bank().note_number() == note) {
298                                 current_controller_bank = (current_controller_bank + 1) % num_controller_banks;
299                                 update_highlights();
300                                 update_lights_lock_held();
301                         }
302                         if (bus_mapping.has_select_bank_1() &&
303                             bus_mapping.select_bank_1().note_number() == note) {
304                                 current_controller_bank = 0;
305                                 update_highlights();
306                                 update_lights_lock_held();
307                         }
308                         if (bus_mapping.has_select_bank_2() &&
309                             bus_mapping.select_bank_2().note_number() == note &&
310                             num_controller_banks >= 2) {
311                                 current_controller_bank = 1;
312                                 update_highlights();
313                                 update_lights_lock_held();
314                         }
315                         if (bus_mapping.has_select_bank_3() &&
316                             bus_mapping.select_bank_3().note_number() == note &&
317                             num_controller_banks >= 3) {
318                                 current_controller_bank = 2;
319                                 update_highlights();
320                                 update_lights_lock_held();
321                         }
322                         if (bus_mapping.has_select_bank_4() &&
323                             bus_mapping.select_bank_4().note_number() == note &&
324                             num_controller_banks >= 4) {
325                                 current_controller_bank = 3;
326                                 update_highlights();
327                                 update_lights_lock_held();
328                         }
329                         if (bus_mapping.has_select_bank_5() &&
330                             bus_mapping.select_bank_5().note_number() == note &&
331                             num_controller_banks >= 5) {
332                                 current_controller_bank = 4;
333                                 update_highlights();
334                                 update_lights_lock_held();
335                         }
336                 }
337
338                 match_button(note, MIDIMappingBusProto::kToggleLocutFieldNumber, MIDIMappingProto::kToggleLocutBankFieldNumber,
339                         bind(&ControllerReceiver::toggle_locut, receiver, _1));
340                 match_button(note, MIDIMappingBusProto::kToggleAutoGainStagingFieldNumber, MIDIMappingProto::kToggleAutoGainStagingBankFieldNumber,
341                         bind(&ControllerReceiver::toggle_auto_gain_staging, receiver, _1));
342                 match_button(note, MIDIMappingBusProto::kToggleCompressorFieldNumber, MIDIMappingProto::kToggleCompressorBankFieldNumber,
343                         bind(&ControllerReceiver::toggle_compressor, receiver, _1));
344                 match_button(note, MIDIMappingBusProto::kClearPeakFieldNumber, MIDIMappingProto::kClearPeakBankFieldNumber,
345                         bind(&ControllerReceiver::clear_peak, receiver, _1));
346                 match_button(note, MIDIMappingBusProto::kToggleMuteFieldNumber, MIDIMappingProto::kClearPeakBankFieldNumber,
347                         bind(&ControllerReceiver::toggle_mute, receiver, _1));
348                 match_button(note, MIDIMappingBusProto::kToggleLimiterFieldNumber, MIDIMappingProto::kToggleLimiterBankFieldNumber,
349                         bind(&ControllerReceiver::toggle_limiter, receiver));
350                 match_button(note, MIDIMappingBusProto::kToggleAutoMakeupGainFieldNumber, MIDIMappingProto::kToggleAutoMakeupGainBankFieldNumber,
351                         bind(&ControllerReceiver::toggle_auto_makeup_gain, receiver));
352                 break;
353         }
354         case SND_SEQ_EVENT_PORT_START:
355                 subscribe_to_port_lock_held(seq, event->data.addr);
356                 break;
357         case SND_SEQ_EVENT_PORT_EXIT:
358                 printf("MIDI port %d:%d went away.\n", event->data.addr.client, event->data.addr.port);
359                 break;
360         case SND_SEQ_EVENT_PORT_SUBSCRIBED:
361                 if (event->data.connect.sender.client != 0 &&  // Ignore system senders.
362                     event->data.connect.sender.client != snd_seq_client_id(seq) &&
363                     event->data.connect.dest.client == snd_seq_client_id(seq)) {
364                         ++num_subscribed_ports;
365                         update_highlights();
366                 }
367                 break;
368         case SND_SEQ_EVENT_PORT_UNSUBSCRIBED:
369                 if (event->data.connect.sender.client != 0 &&  // Ignore system senders.
370                     event->data.connect.sender.client != snd_seq_client_id(seq) &&
371                     event->data.connect.dest.client == snd_seq_client_id(seq)) {
372                         --num_subscribed_ports;
373                         update_highlights();
374                 }
375                 break;
376         case SND_SEQ_EVENT_NOTEOFF:
377         case SND_SEQ_EVENT_CLIENT_START:
378         case SND_SEQ_EVENT_CLIENT_EXIT:
379         case SND_SEQ_EVENT_CLIENT_CHANGE:
380         case SND_SEQ_EVENT_PORT_CHANGE:
381                 break;
382         default:
383                 printf("Ignoring MIDI event of unknown type %d.\n", event->type);
384         }
385 }
386
387 void MIDIMapper::subscribe_to_port_lock_held(snd_seq_t *seq, const snd_seq_addr_t &addr)
388 {
389         // Client 0 is basically the system; ignore it.
390         if (addr.client == 0) {
391                 return;
392         }
393
394         int err = snd_seq_connect_from(seq, 0, addr.client, addr.port);
395         if (err < 0) {
396                 // Just print out a warning (i.e., don't die); it could
397                 // very well just be e.g. another application.
398                 printf("Couldn't subscribe to MIDI port %d:%d (%s).\n",
399                         addr.client, addr.port, snd_strerror(err));
400         } else {
401                 printf("Subscribed to MIDI port %d:%d.\n", addr.client, addr.port);
402         }
403
404         // For sending data back.
405         err = snd_seq_connect_to(seq, 0, addr.client, addr.port);
406         if (err < 0) {
407                 printf("Couldn't subscribe MIDI port %d:%d (%s) to us.\n",
408                         addr.client, addr.port, snd_strerror(err));
409         } else {
410                 printf("Subscribed MIDI port %d:%d to us.\n", addr.client, addr.port);
411         }
412
413         current_light_status.clear();  // The current state of the device is unknown.
414         update_lights_lock_held();
415 }
416
417 void MIDIMapper::match_controller(int controller, int field_number, int bank_field_number, float value, function<void(unsigned, float)> func)
418 {
419         if (bank_mismatch(bank_field_number)) {
420                 return;
421         }
422
423         for (size_t bus_idx = 0; bus_idx < size_t(mapping_proto->bus_mapping_size()); ++bus_idx) {
424                 const MIDIMappingBusProto &bus_mapping = mapping_proto->bus_mapping(bus_idx);
425
426                 const FieldDescriptor *descriptor = bus_mapping.GetDescriptor()->FindFieldByNumber(field_number);
427                 const Reflection *bus_reflection = bus_mapping.GetReflection();
428                 if (!bus_reflection->HasField(bus_mapping, descriptor)) {
429                         continue;
430                 }
431                 const MIDIControllerProto &controller_proto =
432                         static_cast<const MIDIControllerProto &>(bus_reflection->GetMessage(bus_mapping, descriptor));
433                 if (controller_proto.controller_number() == controller) {
434                         func(bus_idx, value);
435                 }
436         }
437 }
438
439 void MIDIMapper::match_button(int note, int field_number, int bank_field_number, function<void(unsigned)> func)
440 {
441         if (bank_mismatch(bank_field_number)) {
442                 return;
443         }
444
445         for (size_t bus_idx = 0; bus_idx < size_t(mapping_proto->bus_mapping_size()); ++bus_idx) {
446                 const MIDIMappingBusProto &bus_mapping = mapping_proto->bus_mapping(bus_idx);
447
448                 const FieldDescriptor *descriptor = bus_mapping.GetDescriptor()->FindFieldByNumber(field_number);
449                 const Reflection *bus_reflection = bus_mapping.GetReflection();
450                 if (!bus_reflection->HasField(bus_mapping, descriptor)) {
451                         continue;
452                 }
453                 const MIDIButtonProto &button_proto =
454                         static_cast<const MIDIButtonProto &>(bus_reflection->GetMessage(bus_mapping, descriptor));
455                 if (button_proto.note_number() == note) {
456                         func(bus_idx);
457                 }
458         }
459 }
460
461 bool MIDIMapper::has_active_controller(unsigned bus_idx, int field_number, int bank_field_number)
462 {
463         if (bank_mismatch(bank_field_number)) {
464                 return false;
465         }
466
467         const MIDIMappingBusProto &bus_mapping = mapping_proto->bus_mapping(bus_idx);
468         const FieldDescriptor *descriptor = bus_mapping.GetDescriptor()->FindFieldByNumber(field_number);
469         const Reflection *bus_reflection = bus_mapping.GetReflection();
470         return bus_reflection->HasField(bus_mapping, descriptor);
471 }
472
473 bool MIDIMapper::bank_mismatch(int bank_field_number)
474 {
475         const FieldDescriptor *bank_descriptor = mapping_proto->GetDescriptor()->FindFieldByNumber(bank_field_number);
476         const Reflection *reflection = mapping_proto->GetReflection();
477         return (reflection->HasField(*mapping_proto, bank_descriptor) &&
478                 reflection->GetInt32(*mapping_proto, bank_descriptor) != current_controller_bank);
479 }
480
481 void MIDIMapper::refresh_highlights()
482 {
483         receiver->clear_all_highlights();
484         update_highlights();
485 }
486
487 void MIDIMapper::refresh_lights()
488 {
489         lock_guard<mutex> lock(mu);
490         update_lights_lock_held();
491 }
492
493 void MIDIMapper::update_highlights()
494 {
495         if (num_subscribed_ports.load() == 0) {
496                 receiver->clear_all_highlights();
497                 return;
498         }
499
500         // Global controllers.
501         bool highlight_locut = false;
502         bool highlight_limiter_threshold = false;
503         bool highlight_makeup_gain = false;
504         bool highlight_toggle_limiter = false;
505         bool highlight_toggle_auto_makeup_gain = false;
506         for (size_t bus_idx = 0; bus_idx < size_t(mapping_proto->bus_mapping_size()); ++bus_idx) {
507                 if (has_active_controller(
508                         bus_idx, MIDIMappingBusProto::kLocutFieldNumber, MIDIMappingProto::kLocutBankFieldNumber)) {
509                         highlight_locut = true;
510                 }
511                 if (has_active_controller(
512                         bus_idx, MIDIMappingBusProto::kLimiterThresholdFieldNumber, MIDIMappingProto::kLimiterThresholdBankFieldNumber)) {
513                         highlight_limiter_threshold = true;
514                 }
515                 if (has_active_controller(
516                         bus_idx, MIDIMappingBusProto::kMakeupGainFieldNumber, MIDIMappingProto::kMakeupGainBankFieldNumber)) {
517                         highlight_makeup_gain = true;
518                 }
519                 if (has_active_controller(
520                         bus_idx, MIDIMappingBusProto::kToggleLimiterFieldNumber, MIDIMappingProto::kToggleLimiterBankFieldNumber)) {
521                         highlight_toggle_limiter = true;
522                 }
523                 if (has_active_controller(
524                         bus_idx, MIDIMappingBusProto::kToggleAutoMakeupGainFieldNumber, MIDIMappingProto::kToggleAutoMakeupGainBankFieldNumber)) {
525                         highlight_toggle_auto_makeup_gain = true;
526                 }
527         }
528         receiver->highlight_locut(highlight_locut);
529         receiver->highlight_limiter_threshold(highlight_limiter_threshold);
530         receiver->highlight_makeup_gain(highlight_makeup_gain);
531         receiver->highlight_toggle_limiter(highlight_toggle_limiter);
532         receiver->highlight_toggle_auto_makeup_gain(highlight_toggle_auto_makeup_gain);
533
534         // Per-bus controllers.
535         for (size_t bus_idx = 0; bus_idx < size_t(mapping_proto->bus_mapping_size()); ++bus_idx) {
536                 receiver->highlight_treble(bus_idx, has_active_controller(
537                         bus_idx, MIDIMappingBusProto::kTrebleFieldNumber, MIDIMappingProto::kTrebleBankFieldNumber));
538                 receiver->highlight_mid(bus_idx, has_active_controller(
539                         bus_idx, MIDIMappingBusProto::kMidFieldNumber, MIDIMappingProto::kMidBankFieldNumber));
540                 receiver->highlight_bass(bus_idx, has_active_controller(
541                         bus_idx, MIDIMappingBusProto::kBassFieldNumber, MIDIMappingProto::kBassBankFieldNumber));
542                 receiver->highlight_gain(bus_idx, has_active_controller(
543                         bus_idx, MIDIMappingBusProto::kGainFieldNumber, MIDIMappingProto::kGainBankFieldNumber));
544                 receiver->highlight_compressor_threshold(bus_idx, has_active_controller(
545                         bus_idx, MIDIMappingBusProto::kCompressorThresholdFieldNumber, MIDIMappingProto::kCompressorThresholdBankFieldNumber));
546                 receiver->highlight_fader(bus_idx, has_active_controller(
547                         bus_idx, MIDIMappingBusProto::kFaderFieldNumber, MIDIMappingProto::kFaderBankFieldNumber));
548                 receiver->highlight_mute(bus_idx, has_active_controller(
549                         bus_idx, MIDIMappingBusProto::kToggleMuteFieldNumber, MIDIMappingProto::kToggleMuteBankFieldNumber));
550                 receiver->highlight_toggle_locut(bus_idx, has_active_controller(
551                         bus_idx, MIDIMappingBusProto::kToggleLocutFieldNumber, MIDIMappingProto::kToggleLocutBankFieldNumber));
552                 receiver->highlight_toggle_auto_gain_staging(bus_idx, has_active_controller(
553                         bus_idx, MIDIMappingBusProto::kToggleAutoGainStagingFieldNumber, MIDIMappingProto::kToggleAutoGainStagingBankFieldNumber));
554                 receiver->highlight_toggle_compressor(bus_idx, has_active_controller(
555                         bus_idx, MIDIMappingBusProto::kToggleCompressorFieldNumber, MIDIMappingProto::kToggleCompressorBankFieldNumber));
556         }
557 }
558
559 void MIDIMapper::update_lights_lock_held()
560 {
561         if (alsa_seq == nullptr || global_audio_mixer == nullptr) {
562                 return;
563         }
564
565         set<unsigned> active_lights;  // Desired state.
566         if (current_controller_bank == 0) {
567                 activate_lights_all_buses(MIDIMappingBusProto::kBank1IsSelectedFieldNumber, &active_lights);
568         }
569         if (current_controller_bank == 1) {
570                 activate_lights_all_buses(MIDIMappingBusProto::kBank2IsSelectedFieldNumber, &active_lights);
571         }
572         if (current_controller_bank == 2) {
573                 activate_lights_all_buses(MIDIMappingBusProto::kBank3IsSelectedFieldNumber, &active_lights);
574         }
575         if (current_controller_bank == 3) {
576                 activate_lights_all_buses(MIDIMappingBusProto::kBank4IsSelectedFieldNumber, &active_lights);
577         }
578         if (current_controller_bank == 4) {
579                 activate_lights_all_buses(MIDIMappingBusProto::kBank5IsSelectedFieldNumber, &active_lights);
580         }
581         if (global_audio_mixer->get_limiter_enabled()) {
582                 activate_lights_all_buses(MIDIMappingBusProto::kLimiterIsOnFieldNumber, &active_lights);
583         }
584         if (global_audio_mixer->get_final_makeup_gain_auto()) {
585                 activate_lights_all_buses(MIDIMappingBusProto::kAutoMakeupGainIsOnFieldNumber, &active_lights);
586         }
587         unsigned num_buses = min<unsigned>(global_audio_mixer->num_buses(), mapping_proto->bus_mapping_size());
588         for (unsigned bus_idx = 0; bus_idx < num_buses; ++bus_idx) {
589                 if (global_audio_mixer->get_mute(bus_idx)) {
590                         activate_lights(bus_idx, MIDIMappingBusProto::kIsMutedFieldNumber, &active_lights);
591                 }
592                 if (global_audio_mixer->get_locut_enabled(bus_idx)) {
593                         activate_lights(bus_idx, MIDIMappingBusProto::kLocutIsOnFieldNumber, &active_lights);
594                 }
595                 if (global_audio_mixer->get_gain_staging_auto(bus_idx)) {
596                         activate_lights(bus_idx, MIDIMappingBusProto::kAutoGainStagingIsOnFieldNumber, &active_lights);
597                 }
598                 if (global_audio_mixer->get_compressor_enabled(bus_idx)) {
599                         activate_lights(bus_idx, MIDIMappingBusProto::kCompressorIsOnFieldNumber, &active_lights);
600                 }
601                 if (has_peaked[bus_idx]) {
602                         activate_lights(bus_idx, MIDIMappingBusProto::kHasPeakedFieldNumber, &active_lights);
603                 }
604         }
605
606         unsigned num_events = 0;
607         for (unsigned note_num = 1; note_num <= 127; ++note_num) {
608                 bool active = active_lights.count(note_num);
609                 if (current_light_status.count(note_num) &&
610                     current_light_status[note_num] == active) {
611                         // Already known to be in the desired state.
612                         continue;
613                 }
614
615                 snd_seq_event_t ev;
616                 snd_seq_ev_clear(&ev);
617
618                 // Some devices drop events if we throw them onto them
619                 // too quickly. Add a 1 ms delay for each.
620                 snd_seq_real_time_t tm{0, num_events++ * 1000000};
621                 snd_seq_ev_schedule_real(&ev, alsa_queue_id, true, &tm);
622                 snd_seq_ev_set_source(&ev, 0);
623                 snd_seq_ev_set_subs(&ev);
624
625                 // For some reason, not all devices respond to note off.
626                 // Use note-on with velocity of 0 (which is equivalent) instead.
627                 snd_seq_ev_set_noteon(&ev, /*channel=*/0, note_num, active ? 127 : 0);
628                 WARN_ON_ERROR("snd_seq_event_output", snd_seq_event_output(alsa_seq, &ev));
629                 current_light_status[note_num] = active;
630         }
631         WARN_ON_ERROR("snd_seq_drain_output", snd_seq_drain_output(alsa_seq));
632 }
633
634 void MIDIMapper::activate_lights(unsigned bus_idx, int field_number, set<unsigned> *active_lights)
635 {
636         const MIDIMappingBusProto &bus_mapping = mapping_proto->bus_mapping(bus_idx);
637
638         const FieldDescriptor *descriptor = bus_mapping.GetDescriptor()->FindFieldByNumber(field_number);
639         const Reflection *bus_reflection = bus_mapping.GetReflection();
640         if (!bus_reflection->HasField(bus_mapping, descriptor)) {
641                 return;
642         }
643         const MIDILightProto &light_proto =
644                 static_cast<const MIDILightProto &>(bus_reflection->GetMessage(bus_mapping, descriptor));
645         active_lights->insert(light_proto.note_number());
646 }
647
648 void MIDIMapper::activate_lights_all_buses(int field_number, set<unsigned> *active_lights)
649 {
650         for (size_t bus_idx = 0; bus_idx < size_t(mapping_proto->bus_mapping_size()); ++bus_idx) {
651                 const MIDIMappingBusProto &bus_mapping = mapping_proto->bus_mapping(bus_idx);
652
653                 const FieldDescriptor *descriptor = bus_mapping.GetDescriptor()->FindFieldByNumber(field_number);
654                 const Reflection *bus_reflection = bus_mapping.GetReflection();
655                 if (!bus_reflection->HasField(bus_mapping, descriptor)) {
656                         continue;
657                 }
658                 const MIDILightProto &light_proto =
659                         static_cast<const MIDILightProto &>(bus_reflection->GetMessage(bus_mapping, descriptor));
660                 active_lights->insert(light_proto.note_number());
661         }
662 }