]> git.sesse.net Git - pistorm/commitdiff
[WIP] Pile of stuff
authorbeeanyew <beeanyew@gmail.com>
Wed, 10 Feb 2021 07:33:52 +0000 (08:33 +0100)
committerbeeanyew <beeanyew@gmail.com>
Wed, 10 Feb 2021 07:33:52 +0000 (08:33 +0100)
PiSCSI Boot ROM progress, various fixes and enhancements, TD64 support
Added updated open source RTL and bit stream by Niklas Ekström (https://github.com/niklasekstrom)

36 files changed:
68new.cfg
Makefile
emulator.c
gpio/gpio_old.c [moved from gpio/gpio.c with 100% similarity]
gpio/gpio_old.h [moved from gpio/gpio.h with 92% similarity]
gpio/ps_protocol.c [new file with mode: 0644]
gpio/ps_protocol.h [new file with mode: 0644]
platforms/amiga/amiga-autoconf.c
platforms/amiga/amiga-autoconf.h
platforms/amiga/amiga-platform.c
platforms/amiga/amiga-registers.h
platforms/amiga/hunk-reloc.c [new file with mode: 0644]
platforms/amiga/hunk-reloc.h [new file with mode: 0644]
platforms/amiga/net/pi-net.c
platforms/amiga/piscsi/device_driver_amiga/2nd.scsi.device [deleted file]
platforms/amiga/piscsi/device_driver_amiga/bootrom
platforms/amiga/piscsi/device_driver_amiga/bootrom.s
platforms/amiga/piscsi/device_driver_amiga/build.sh
platforms/amiga/piscsi/device_driver_amiga/build2.sh [new file with mode: 0644]
platforms/amiga/piscsi/device_driver_amiga/newstyle.h [new file with mode: 0644]
platforms/amiga/piscsi/device_driver_amiga/pi-scsi.device
platforms/amiga/piscsi/device_driver_amiga/piscsi-amiga-2.c [new file with mode: 0644]
platforms/amiga/piscsi/device_driver_amiga/scsi.device [deleted file]
platforms/amiga/piscsi/piscsi-enums.h
platforms/amiga/piscsi/piscsi.c
platforms/amiga/piscsi/piscsi.h
platforms/amiga/piscsi/piscsi.rom
platforms/amiga/piscsi/readme.md
platforms/amiga/rtg/rtg-gfx.c
rtl/bitstream.svf [new file with mode: 0644]
rtl/make.bat [new file with mode: 0644]
rtl/pistorm.qpf [new file with mode: 0644]
rtl/pistorm.qsf [new file with mode: 0644]
rtl/pistorm.qws [new file with mode: 0644]
rtl/pistorm.sdc [new file with mode: 0644]
rtl/pistorm.v [new file with mode: 0644]

index 62e9ba81f6ca3ec5ea6ee78b1eb0587c7c764742..a09ffb509ba119981e72c76b6003b009d7dc0227 100644 (file)
--- a/68new.cfg
+++ b/68new.cfg
@@ -33,6 +33,6 @@ reset_config srst_only srst_open_drain
 transport select jtag
 jtag newtap max2 tap -irlen 11 -expected-id 0x020a20dd 
 init
-svf bitstream.svf -quiet
+svf ./rtl/bitstream.svf -quiet
 sleep 200
 shutdown
index 21c44271e102d1dace88f44893dbd58e7f403c02..fedac27587156ea70bcba581496371e414661a5b 100644 (file)
--- a/Makefile
+++ b/Makefile
@@ -4,7 +4,7 @@ MAINFILES        = emulator.c \
        memory_mapped.c \
        config_file/config_file.c \
        input/input.c \
-       gpio/gpio.c \
+       gpio/ps_protocol.c \
        platforms/platforms.c \
        platforms/amiga/amiga-autoconf.c \
        platforms/amiga/amiga-platform.c \
@@ -12,6 +12,7 @@ MAINFILES        = emulator.c \
        platforms/dummy/dummy-platform.c \
        platforms/dummy/dummy-registers.c \
        platforms/amiga/Gayle.c \
+       platforms/amiga/hunk-reloc.c \
        platforms/amiga/gayle-ide/ide.c \
        platforms/amiga/cdtv-dmac.c \
        platforms/amiga/rtg/rtg.c \
@@ -36,7 +37,7 @@ EXEPATH = ./
 
 CC        = gcc
 WARNINGS  = -Wall -Wextra -pedantic
-CFLAGS    = $(WARNINGS) -march=armv8-a -mfloat-abi=hard -mfpu=neon-fp-armv8 -O3
+CFLAGS    = $(WARNINGS) -march=armv8-a -mfloat-abi=hard -mfpu=neon-fp-armv8 -O3 -D_FILE_OFFSET_BITS=64 -D_LARGEFILE_SOURCE -D_LARGEFILE64_SOURCE
 LFLAGS    = $(WARNINGS) `sdl2-config --libs`
 
 TARGET = $(EXENAME)$(EXE)
index 0de9337fe25de737379f6a7103191e1fdb9394f7..b13bbdc5ff93b8468879326287022af627b46f44 100644 (file)
@@ -27,7 +27,7 @@
 #include "platforms/amiga/piscsi/piscsi-enums.h"
 #include "platforms/amiga/net/pi-net.h"
 #include "platforms/amiga/net/pi-net-enums.h"
-#include "gpio/gpio.h"
+#include "gpio/ps_protocol.h"
 
 unsigned char read_ranges;
 unsigned int read_addr[8];
@@ -45,10 +45,12 @@ int cpu_emulation_running = 1;
 char mouse_dx = 0, mouse_dy = 0;
 char mouse_buttons = 0;
 
+extern uint8_t gayle_int;
+extern uint8_t gayle_a4k_int;
 extern volatile unsigned int *gpio;
 extern volatile uint16_t srdata;
 extern uint8_t realtime_graphics_debug;
-uint8_t realtime_disassembly;
+uint8_t realtime_disassembly, int2_enabled = 0;
 uint32_t do_disasm = 0;
 
 char disasm_buf[4096];
@@ -206,7 +208,7 @@ int main(int argc, char *argv[]) {
   InitGayle();
 
   signal(SIGINT, sigint_handler);
-  setup_io();
+  /*setup_io();
 
   //goto skip_everything;
 
@@ -237,7 +239,16 @@ int main(int argc, char *argv[]) {
     m68k_set_reg(M68K_REG_PC, 0xF80002);
   } else {
     m68k_set_reg(M68K_REG_PC, 0x0);
-  }
+  }*/
+  ps_setup_protocol();
+  ps_reset_state_machine();
+  ps_pulse_reset();
+
+  usleep(1500);
+  m68k_init();
+  printf("Setting CPU type to %d.\n", cpu_type);
+  m68k_set_cpu_type(cpu_type);
+  cpu_pulse_reset();
 
   char c = 0, c_code = 0, c_type = 0;
 
@@ -275,14 +286,13 @@ int main(int argc, char *argv[]) {
       unsigned int status = read_reg();
       m68k_set_irq((status & 0xe000) >> 13);
     }
-    else if (gayleirq) {
+    else if (gayleirq && int2_enabled) {
       write16(0xdff09c, 0x8000 | (1 << 3));
-      //PAULA_SET_IRQ(3); // IRQ 3 = INT2
       m68k_set_irq(2);
     }
-    else {
-        m68k_set_irq(0);
-    }
+    /*else {
+      m68k_set_irq(0);
+    }*/
 
     while (get_key_char(&c, &c_code, &c_type)) {
       if (c && c == cfg->keyboard_toggle_key && !kb_hook_enabled) {
@@ -310,7 +320,7 @@ int main(int argc, char *argv[]) {
               printf("unknown.\n");
               break;
           }*/
-          if (queue_keypress(c_code, c_type, cfg->platform->id)) {
+          if (queue_keypress(c_code, c_type, cfg->platform->id) && int2_enabled) {
             m68k_set_irq(2);
           }
         }
@@ -361,9 +371,6 @@ int main(int argc, char *argv[]) {
         }
       }
     }
-
-    //gpio_handle_irq();
-    //GPIO_HANDLE_IRQ;
   }
 
   stop_cpu_emulation:;
@@ -377,10 +384,11 @@ int main(int argc, char *argv[]) {
 }
 
 void cpu_pulse_reset(void) {
-  write_reg(0x00);
+  ps_pulse_reset();
+  //write_reg(0x00);
   // printf("Status Reg%x\n",read_reg());
-  usleep(100000);
-  write_reg(0x02);
+  //usleep(100000);
+  //write_reg(0x02);
   // printf("Status Reg%x\n",read_reg());
   if (cfg->platform->handle_reset)
     cfg->platform->handle_reset(cfg);
@@ -450,9 +458,13 @@ unsigned int m68k_read_memory_8(unsigned int address) {
   }*/
 
 
+  if (address & 0xFF000000)
+    return 0;
+
+  unsigned char result = (unsigned int)read8((uint32_t)address);
+
   if (mouse_hook_enabled) {
     if (address == CIAAPRA) {
-      unsigned char result = (unsigned int)read8((uint32_t)address);
       if (mouse_buttons & 0x01) {
         //mouse_buttons -= 1;
         return (unsigned int)(result ^ 0x40);
@@ -462,7 +474,6 @@ unsigned int m68k_read_memory_8(unsigned int address) {
     }
   }
   if (kb_hook_enabled) {
-    unsigned char result = (unsigned int)read8((uint32_t)address);
     if (address == CIAAICR) {
       if (get_num_kb_queued() && (!send_keypress || send_keypress == 1)) {
         result |= 0x08;
@@ -487,10 +498,7 @@ unsigned int m68k_read_memory_8(unsigned int address) {
     }
   }
 
-  if (address & 0xFF000000)
-    return 0;
-
-  return read8((uint32_t)address);
+  return result;
 }
 
 unsigned int m68k_read_memory_16(unsigned int address) {
@@ -640,6 +648,16 @@ void m68k_write_memory_16(unsigned int address, unsigned int value) {
     char *beb = (char *)&value;
     printf("%c%c", beb[1], beb[0]);
   }
+  if (address == 0xDFF09A) {
+    if (!(value & 0x8000)) {
+      if (value & 0x04) {
+        int2_enabled = 0;
+      }
+    }
+    else if (value & 0x04) {
+      int2_enabled = 1;
+    }
+  }
 
   if (address & 0xFF000000)
     return;
similarity index 100%
rename from gpio/gpio.c
rename to gpio/gpio_old.c
similarity index 92%
rename from gpio/gpio.h
rename to gpio/gpio_old.h
index 43521ceed5cba995983b631ac4b8b6ac57629426..79e73598cd57ffa91ab1e5c8474136c93c8ed871 100644 (file)
       reset |= (1 << (no)); \
   } while (0)
 
-#define JOY0DAT 0xDFF00A
-#define JOY1DAT 0xDFF00C
-#define CIAAPRA 0xBFE001
-#define CIAADAT 0xBFEC01
-#define CIAAICR 0xBFED01
-#define POTGOR  0xDFF016
-
 // GPIO setup macros. Always use INP_GPIO(x) before using OUT_GPIO(x) or
 // SET_GPIO_ALT(x,y)
 #define INP_GPIO(g) *(gpio + ((g) / 10)) &= ~(7 << (((g) % 10) * 3))
@@ -84,9 +77,6 @@
         m68k_set_irq(0); \
   }; \
 
-extern uint8_t gayle_int;
-extern uint8_t gayle_a4k_int;
-
 void setup_io();
 void gpio_enable_200mhz();
 void gpio_handle_irq();
diff --git a/gpio/ps_protocol.c b/gpio/ps_protocol.c
new file mode 100644 (file)
index 0000000..2e8364e
--- /dev/null
@@ -0,0 +1,293 @@
+/*
+  Original Copyright 2020 Claude Schwarz
+  Code reorganized and rewritten by 
+  Niklas Ekström 2021 (https://github.com/niklasekstrom)
+*/
+
+#include <errno.h>
+#include <fcntl.h>
+#include <stddef.h>
+#include <stdio.h>
+#include <stdlib.h>
+#include <sys/mman.h>
+#include <sys/stat.h>
+#include <sys/types.h>
+#include <unistd.h>
+#include "ps_protocol.h"
+
+volatile unsigned int *gpio;
+volatile unsigned int *gpclk;
+
+unsigned int gpfsel0;
+unsigned int gpfsel1;
+unsigned int gpfsel2;
+
+unsigned int gpfsel0_o;
+unsigned int gpfsel1_o;
+unsigned int gpfsel2_o;
+
+static void setup_io() {
+  int fd = open("/dev/mem", O_RDWR | O_SYNC);
+  if (fd < 0) {
+    printf("Unable to open /dev/mem. Run as root using sudo?\n");
+    exit(-1);
+  }
+
+  void *gpio_map = mmap(
+      NULL,                    // Any adddress in our space will do
+      BCM2708_PERI_SIZE,       // Map length
+      PROT_READ | PROT_WRITE,  // Enable reading & writting to mapped memory
+      MAP_SHARED,              // Shared with other processes
+      fd,                      // File to map
+      BCM2708_PERI_BASE        // Offset to GPIO peripheral
+  );
+
+  close(fd);
+
+  if (gpio_map == MAP_FAILED) {
+    printf("mmap failed, errno = %d\n", errno);
+    exit(-1);
+  }
+
+  gpio = ((volatile unsigned *)gpio_map) + GPIO_ADDR / 4;
+  gpclk = ((volatile unsigned *)gpio_map) + GPCLK_ADDR / 4;
+}
+
+static void setup_gpclk() {
+  // Enable 200MHz CLK output on GPIO4, adjust divider and pll source depending
+  // on pi model
+  *(gpclk + (CLK_GP0_CTL / 4)) = CLK_PASSWD | (1 << 5);
+  usleep(10);
+  while ((*(gpclk + (CLK_GP0_CTL / 4))) & (1 << 7))
+    ;
+  usleep(100);
+  *(gpclk + (CLK_GP0_DIV / 4)) =
+      CLK_PASSWD | (6 << 12);  // divider , 6=200MHz on pi3
+  usleep(10);
+  *(gpclk + (CLK_GP0_CTL / 4)) =
+      CLK_PASSWD | 5 | (1 << 4);  // pll? 6=plld, 5=pllc
+  usleep(10);
+  while (((*(gpclk + (CLK_GP0_CTL / 4))) & (1 << 7)) == 0)
+    ;
+  usleep(100);
+
+  SET_GPIO_ALT(PIN_CLK, 0);  // gpclk0
+}
+
+void ps_setup_protocol() {
+  setup_io();
+  setup_gpclk();
+
+  *(gpio + 10) = 0xffffec;
+
+  *(gpio + 0) = GPFSEL0_INPUT;
+  *(gpio + 1) = GPFSEL1_INPUT;
+  *(gpio + 2) = GPFSEL2_INPUT;
+}
+
+void ps_write_16(unsigned int address, unsigned int data) {
+  *(gpio + 0) = GPFSEL0_OUTPUT;
+  *(gpio + 1) = GPFSEL1_OUTPUT;
+  *(gpio + 2) = GPFSEL2_OUTPUT;
+
+  *(gpio + 7) = ((data & 0xffff) << 8) | (REG_DATA << PIN_A0);
+  *(gpio + 7) = 1 << PIN_WR;
+  *(gpio + 10) = 1 << PIN_WR;
+  *(gpio + 10) = 0xffffec;
+
+  *(gpio + 7) = ((address & 0xffff) << 8) | (REG_ADDR_LO << PIN_A0);
+  *(gpio + 7) = 1 << PIN_WR;
+  *(gpio + 10) = 1 << PIN_WR;
+  *(gpio + 10) = 0xffffec;
+
+  *(gpio + 7) = ((0x0000 | (address >> 16)) << 8) | (REG_ADDR_HI << PIN_A0);
+  *(gpio + 7) = 1 << PIN_WR;
+  *(gpio + 10) = 1 << PIN_WR;
+  *(gpio + 10) = 0xffffec;
+
+  *(gpio + 0) = GPFSEL0_INPUT;
+  *(gpio + 1) = GPFSEL1_INPUT;
+  *(gpio + 2) = GPFSEL2_INPUT;
+
+  while (*(gpio + 13) & (1 << PIN_TXN_IN_PROGRESS))
+    ;
+}
+
+void ps_write_8(unsigned int address, unsigned int data) {
+  if ((address & 1) == 0)
+    data = data + (data << 8);  // EVEN, A0=0,UDS
+  else
+    data = data & 0xff;  // ODD , A0=1,LDS
+
+  *(gpio + 0) = GPFSEL0_OUTPUT;
+  *(gpio + 1) = GPFSEL1_OUTPUT;
+  *(gpio + 2) = GPFSEL2_OUTPUT;
+
+  *(gpio + 7) = ((data & 0xffff) << 8) | (REG_DATA << PIN_A0);
+  *(gpio + 7) = 1 << PIN_WR;
+  *(gpio + 10) = 1 << PIN_WR;
+  *(gpio + 10) = 0xffffec;
+
+  *(gpio + 7) = ((address & 0xffff) << 8) | (REG_ADDR_LO << PIN_A0);
+  *(gpio + 7) = 1 << PIN_WR;
+  *(gpio + 10) = 1 << PIN_WR;
+  *(gpio + 10) = 0xffffec;
+
+  *(gpio + 7) = ((0x0100 | (address >> 16)) << 8) | (REG_ADDR_HI << PIN_A0);
+  *(gpio + 7) = 1 << PIN_WR;
+  *(gpio + 10) = 1 << PIN_WR;
+  *(gpio + 10) = 0xffffec;
+
+  *(gpio + 0) = GPFSEL0_INPUT;
+  *(gpio + 1) = GPFSEL1_INPUT;
+  *(gpio + 2) = GPFSEL2_INPUT;
+
+  while (*(gpio + 13) & (1 << PIN_TXN_IN_PROGRESS))
+    ;
+}
+
+void ps_write_32(unsigned int address, unsigned int value) {
+  ps_write_16(address, value >> 16);
+  ps_write_16(address + 2, value);
+}
+
+unsigned int ps_read_16(unsigned int address) {
+  *(gpio + 0) = GPFSEL0_OUTPUT;
+  *(gpio + 1) = GPFSEL1_OUTPUT;
+  *(gpio + 2) = GPFSEL2_OUTPUT;
+
+  *(gpio + 7) = ((address & 0xffff) << 8) | (REG_ADDR_LO << PIN_A0);
+  *(gpio + 7) = 1 << PIN_WR;
+  *(gpio + 10) = 1 << PIN_WR;
+  *(gpio + 10) = 0xffffec;
+
+  *(gpio + 7) = ((0x0200 | (address >> 16)) << 8) | (REG_ADDR_HI << PIN_A0);
+  *(gpio + 7) = 1 << PIN_WR;
+  *(gpio + 10) = 1 << PIN_WR;
+  *(gpio + 10) = 0xffffec;
+
+  *(gpio + 0) = GPFSEL0_INPUT;
+  *(gpio + 1) = GPFSEL1_INPUT;
+  *(gpio + 2) = GPFSEL2_INPUT;
+
+  *(gpio + 7) = (REG_DATA << PIN_A0);
+  *(gpio + 7) = 1 << PIN_RD;
+
+  while (*(gpio + 13) & (1 << PIN_TXN_IN_PROGRESS))
+    ;
+
+  unsigned int value = *(gpio + 13);
+
+  *(gpio + 10) = 0xffffec;
+
+  return (value >> 8) & 0xffff;
+}
+
+unsigned int ps_read_8(unsigned int address) {
+  *(gpio + 0) = GPFSEL0_OUTPUT;
+  *(gpio + 1) = GPFSEL1_OUTPUT;
+  *(gpio + 2) = GPFSEL2_OUTPUT;
+
+  *(gpio + 7) = ((address & 0xffff) << 8) | (REG_ADDR_LO << PIN_A0);
+  *(gpio + 7) = 1 << PIN_WR;
+  *(gpio + 10) = 1 << PIN_WR;
+  *(gpio + 10) = 0xffffec;
+
+  *(gpio + 7) = ((0x0300 | (address >> 16)) << 8) | (REG_ADDR_HI << PIN_A0);
+  *(gpio + 7) = 1 << PIN_WR;
+  *(gpio + 10) = 1 << PIN_WR;
+  *(gpio + 10) = 0xffffec;
+
+  *(gpio + 0) = GPFSEL0_INPUT;
+  *(gpio + 1) = GPFSEL1_INPUT;
+  *(gpio + 2) = GPFSEL2_INPUT;
+
+  *(gpio + 7) = (REG_DATA << PIN_A0);
+  *(gpio + 7) = 1 << PIN_RD;
+
+  while (*(gpio + 13) & (1 << PIN_TXN_IN_PROGRESS))
+    ;
+
+  unsigned int value = *(gpio + 13);
+
+  *(gpio + 10) = 0xffffec;
+
+  value = (value >> 8) & 0xffff;
+
+  if ((address & 1) == 0)
+    return (value >> 8) & 0xff;  // EVEN, A0=0,UDS
+  else
+    return value & 0xff;  // ODD , A0=1,LDS
+}
+
+unsigned int ps_read_32(unsigned int address) {
+  unsigned int a = ps_read_16(address);
+  unsigned int b = ps_read_16(address + 2);
+  return (a << 16) | b;
+}
+
+void ps_write_status_reg(unsigned int value) {
+  *(gpio + 0) = GPFSEL0_OUTPUT;
+  *(gpio + 1) = GPFSEL1_OUTPUT;
+  *(gpio + 2) = GPFSEL2_OUTPUT;
+
+  *(gpio + 7) = ((value & 0xffff) << 8) | (REG_STATUS << PIN_A0);
+
+  *(gpio + 7) = 1 << PIN_WR;
+  *(gpio + 7) = 1 << PIN_WR;  // delay
+  *(gpio + 10) = 1 << PIN_WR;
+  *(gpio + 10) = 0xffffec;
+
+  *(gpio + 0) = GPFSEL0_INPUT;
+  *(gpio + 1) = GPFSEL1_INPUT;
+  *(gpio + 2) = GPFSEL2_INPUT;
+}
+
+unsigned int ps_read_status_reg() {
+  *(gpio + 7) = (REG_STATUS << PIN_A0);
+  *(gpio + 7) = 1 << PIN_RD;
+  *(gpio + 7) = 1 << PIN_RD;
+  *(gpio + 7) = 1 << PIN_RD;
+  *(gpio + 7) = 1 << PIN_RD;
+
+  unsigned int value = *(gpio + 13);
+
+  *(gpio + 10) = 0xffffec;
+
+  return (value >> 8) & 0xffff;
+}
+
+void ps_reset_state_machine() {
+  ps_write_status_reg(STATUS_BIT_INIT);
+  usleep(1500);
+  ps_write_status_reg(0);
+  usleep(100);
+}
+
+void ps_pulse_reset() {
+  ps_write_status_reg(0);
+  usleep(100000);
+  ps_write_status_reg(STATUS_BIT_RESET);
+}
+
+unsigned int ps_get_ipl_zero() {
+  unsigned int value = *(gpio + 13);
+  return value & (1 << PIN_IPL_ZERO);
+}
+
+#define INT2_ENABLED 1
+
+void ps_update_irq() {
+  unsigned int ipl = 0;
+
+  if (!ps_get_ipl_zero()) {
+    unsigned int status = ps_read_status_reg();
+    ipl = (status & 0xe000) >> 13;
+  }
+
+  /*if (ipl < 2 && INT2_ENABLED && emu_int2_req()) {
+    ipl = 2;
+  }*/
+
+  m68k_set_irq(ipl);
+}
diff --git a/gpio/ps_protocol.h b/gpio/ps_protocol.h
new file mode 100644 (file)
index 0000000..c3c3a3f
--- /dev/null
@@ -0,0 +1,94 @@
+/*
+    Code reorganized and rewritten by 
+    Niklas Ekström 2021 (https://github.com/niklasekstrom)
+*/
+
+#ifndef _PS_PROTOCOL_H
+#define _PS_PROTOCOL_H
+
+#define PIN_TXN_IN_PROGRESS 0
+#define PIN_IPL_ZERO 1
+#define PIN_A0 2
+#define PIN_A1 3
+#define PIN_CLK 4
+#define PIN_UNUSED 5
+#define PIN_RD 6
+#define PIN_WR 7
+#define PIN_D(x) (8 + x)
+
+#define REG_DATA 0
+#define REG_ADDR_LO 1
+#define REG_ADDR_HI 2
+#define REG_STATUS 3
+
+#define STATUS_BIT_INIT 1
+#define STATUS_BIT_RESET 2
+
+#define STATUS_MASK_IPL 0xe000
+#define STATUS_SHIFT_IPL 13
+
+//#define BCM2708_PERI_BASE 0x20000000  // pi0-1
+//#define BCM2708_PERI_BASE    0xFE000000  // pi4
+#define BCM2708_PERI_BASE 0x3F000000  // pi3
+#define BCM2708_PERI_SIZE 0x01000000
+
+#define GPIO_ADDR 0x200000 /* GPIO controller */
+#define GPCLK_ADDR 0x101000
+
+#define GPIO_BASE (BCM2708_PERI_BASE + 0x200000) /* GPIO controller */
+#define GPCLK_BASE (BCM2708_PERI_BASE + 0x101000)
+
+#define CLK_PASSWD 0x5a000000
+#define CLK_GP0_CTL 0x070
+#define CLK_GP0_DIV 0x074
+
+// GPIO setup macros. Always use INP_GPIO(x) before using OUT_GPIO(x) or
+// SET_GPIO_ALT(x,y)
+#define INP_GPIO(g) *(gpio + ((g) / 10)) &= ~(7 << (((g) % 10) * 3))
+#define OUT_GPIO(g) *(gpio + ((g) / 10)) |= (1 << (((g) % 10) * 3))
+#define SET_GPIO_ALT(g, a)  \
+  *(gpio + (((g) / 10))) |= \
+      (((a) <= 3 ? (a) + 4 : (a) == 4 ? 3 : 2) << (((g) % 10) * 3))
+
+#define GPIO_PULL *(gpio + 37)      // Pull up/pull down
+#define GPIO_PULLCLK0 *(gpio + 38)  // Pull up/pull down clock
+
+#define GPFSEL0_INPUT 0x0024c240
+#define GPFSEL1_INPUT 0x00000000
+#define GPFSEL2_INPUT 0x00000000
+
+#define GPFSEL0_OUTPUT 0x0924c240
+#define GPFSEL1_OUTPUT 0x09249249
+#define GPFSEL2_OUTPUT 0x00000249
+
+unsigned int ps_read_8(unsigned int address);
+unsigned int ps_read_16(unsigned int address);
+unsigned int ps_read_32(unsigned int address);
+
+void ps_write_8(unsigned int address, unsigned int data);
+void ps_write_16(unsigned int address, unsigned int data);
+void ps_write_32(unsigned int address, unsigned int data);
+
+unsigned int ps_read_status_reg();
+void ps_write_status_reg(unsigned int value);
+
+void ps_setup_protocol();
+void ps_reset_state_machine();
+void ps_pulse_reset();
+
+unsigned int ps_get_ipl_zero();
+
+#define read8 ps_read_8
+#define read16 ps_read_16
+#define read32 ps_read_32
+
+#define write8 ps_write_8
+#define write16 ps_write_16
+#define write32 ps_write_32
+
+#define write_reg ps_write_status_reg
+#define read_reg ps_read_status_reg
+
+#define gpio_get_irq ps_get_ipl_zero
+
+#endif /* _PS_PROTOCOL_H */
index aaad909e69b8749b791b35ba9f0e3b8e61c0fa7e..054cfdb27c94b212e5cd141970168912059fc2d7 100644 (file)
@@ -255,7 +255,7 @@ unsigned int autoconfig_read_memory_8(struct emulator_config *cfg, unsigned int
     case ACTYPE_A314:
       rom = ac_a314_rom;
       break;
-    case ACTYPE_PSICSI:
+    case ACTYPE_PISCSI:
       rom = ac_piscsi_rom;
       break;
     default:
@@ -295,7 +295,7 @@ void autoconfig_write_memory_8(struct emulator_config *cfg, unsigned int address
     case ACTYPE_A314:
       //base = &a314_base;
       break;
-    case ACTYPE_PSICSI:
+    case ACTYPE_PISCSI:
       base = &piscsi_base;
       break;
     default:
@@ -332,7 +332,7 @@ void autoconfig_write_memory_8(struct emulator_config *cfg, unsigned int address
         m68k_add_ram_range(cfg->map_offset[index], cfg->map_high[index], cfg->map_data[index]);
         printf("Z2 PIC %d at $%.8lX-%.8lX, Size: %d MB\n", ac_z2_current_pic, cfg->map_offset[index], cfg->map_high[index], cfg->map_size[index] / SIZE_MEGA);
         break;
-      case ACTYPE_PSICSI:
+      case ACTYPE_PISCSI:
         printf("PiSCSI Z2 device assigned to $%.8x\n", piscsi_base);
         //m68k_add_rom_range(piscsi_base + (16 * SIZE_KILO), piscsi_base + (32 * SIZE_KILO), piscsi_rom_ptr);
         break;
index 3ff8432afd00e43b999f390bd58493e43b6bc7eb..e5032a6364af2e9805c9c40ca6c7f819605ae028 100644 (file)
@@ -25,7 +25,7 @@ enum autoconf_types {
     ACTYPE_MAPFAST_Z2,
     ACTYPE_MAPFAST_Z3,
     ACTYPE_A314,
-    ACTYPE_PSICSI,
+    ACTYPE_PISCSI,
     ACTYPE_NUM,
 };
 
index 64046953f2f0ef08c041c191a59a139f609a8b95..8fcb453b2f494314373a49a155f9f5e4512dbba8 100644 (file)
@@ -77,7 +77,7 @@ inline int custom_read_amiga(struct emulator_config *cfg, unsigned int addr, uns
     }
 
     if (addr >= piscsi_base && addr < piscsi_base + (64 * SIZE_KILO)) {
-        printf("[Amiga-Custom] %s read from PISCSI base @$%.8X.\n", op_type_names[type], addr);
+        //printf("[Amiga-Custom] %s read from PISCSI base @$%.8X.\n", op_type_names[type], addr);
         //stop_cpu_emulation(1);
         *val = handle_piscsi_read(addr, type);
         return 1;
@@ -122,7 +122,7 @@ inline int custom_write_amiga(struct emulator_config *cfg, unsigned int addr, un
     }
 
     if (addr >= piscsi_base && addr < piscsi_base + (64 * SIZE_KILO)) {
-        printf("[Amiga-Custom] %s write to PISCSI base @$%.8x: %.8X\n", op_type_names[type], addr, val);
+        //printf("[Amiga-Custom] %s write to PISCSI base @$%.8x: %.8X\n", op_type_names[type], addr, val);
         handle_piscsi_write(addr, val, type);
         return 1;
     }
@@ -341,7 +341,7 @@ void setvar_amiga(struct emulator_config *cfg, char *var, char *val) {
         printf("[AMIGA] PISCSI Interface Enabled.\n");
         piscsi_enabled = 1;
         piscsi_init();
-        //ac_z2_type[ac_z2_pic_count] = ACTYPE_PSICSI;
+        //ac_z2_type[ac_z2_pic_count] = ACTYPE_PISCSI;
         //ac_z2_pic_count++;
         adjust_ranges_amiga(cfg);
     }
index 78042e76ba7d68b8cb1992838a2ea3b9fc28f375..635676e99966b0e7fdbee9785145f67323f2ff85 100644 (file)
@@ -22,6 +22,13 @@ void adjust_gayle_1200();
 #define GARY_REG4 0xDE1001
 #define GARY_REG5 0xDE1002
 
+#define JOY0DAT 0xDFF00A
+#define JOY1DAT 0xDFF00C
+#define CIAAPRA 0xBFE001
+#define CIAADAT 0xBFEC01
+#define CIAAICR 0xBFED01
+#define POTGOR  0xDFF016
+
 /* RAMSEY ADDRESSES */
 #define RAMSEY_REG 0xDE0003 /* just a nibble, it should return 0x08 for defaults with 16MB */
 #define RAMSEY_ID 0xDE0043  /* Either 0x0D or 0x0F (most recent version) */
diff --git a/platforms/amiga/hunk-reloc.c b/platforms/amiga/hunk-reloc.c
new file mode 100644 (file)
index 0000000..6421c06
--- /dev/null
@@ -0,0 +1,171 @@
+#include <stdio.h>
+#include <stdint.h>
+#include <stdlib.h>
+#include <string.h>
+#include <unistd.h>
+#include <endian.h>
+#include "hunk-reloc.h"
+
+#define DEBUG(...)
+//#define DEBUG printf
+
+#define READLW(a, b) fread(&a, 4, 1, b); a = be32toh(a);
+#define READW(a, b) fread(&a, 2, 1, b); a = be16toh(a);
+
+uint32_t lw;
+
+char *hunk_id_name(uint32_t index) {
+    switch (index) {
+        case HUNKTYPE_HEADER:
+            return "HUNK_HEADER";
+        case HUNKTYPE_CODE:
+            return "HUNK_CODE";
+        case HUNKTYPE_HUNK_RELOC32:
+            return "HUNK_RELOC32";
+        case HUNKTYPE_SYMBOL:
+            return "HUNK_SYMBOL";
+        case HUNKTYPE_BSS:
+            return "HUNK_BSS";
+        case HUNKTYPE_DATA:
+            return "HUNK_DATA";
+        case HUNKTYPE_END:
+            return "HUNK_END";
+        default:
+            return "UNKNOWN HUNK TYPE";
+    }
+}
+
+int process_hunk(uint32_t index, struct hunk_info *info, FILE *f, struct hunk_reloc *r) {
+    if (!f)
+        return -1;
+    
+    uint32_t discard = 0, cur_hunk = 0, offs32 = 0;
+    
+    switch (index) {
+        case HUNKTYPE_HEADER:
+            DEBUG("Processing hunk header.\n");
+            do {
+                READLW(discard, f);
+                if (discard) {
+                    info->libnames[info->num_libs] = malloc(discard * 4);
+                    fread(info->libnames[info->num_libs], discard, 4, f);
+                    info->num_libs++;
+                }
+            } while (discard);
+            
+            READLW(info->table_size, f);
+            DEBUG("Table size: %d\n", info->table_size);
+            READLW(info->first_hunk, f);
+            READLW(info->last_hunk, f);
+            info->num_hunks = (info->last_hunk - info->first_hunk) + 1;
+            DEBUG("First: %d Last: %d Num: %d\n", info->first_hunk, info->last_hunk, info->num_hunks);
+            info->hunk_sizes = malloc(info->num_hunks * 4);
+            info->hunk_offsets = malloc(info->num_hunks * 4);
+            for (uint32_t i = 0; i < info->table_size; i++) {
+                READLW(info->hunk_sizes[i], f);
+                DEBUG("Hunk %d: %d (%.8X)\n", i, info->hunk_sizes[i] * 4, info->hunk_sizes[i] * 4);
+            }
+            return 0;
+            break;
+        case HUNKTYPE_CODE:
+            DEBUG("Hunk %d: CODE.\n", info->current_hunk);
+            READLW(discard, f);
+            info->hunk_offsets[info->current_hunk] = ftell(f);
+            DEBUG("Code hunk size: %d (%.8X)\n", discard * 4, discard * 4);
+            fseek(f, discard * 4, SEEK_CUR);
+            return 0;
+            break;
+        case HUNKTYPE_HUNK_RELOC32:
+            DEBUG("Hunk %d: RELOC32.\n", info->current_hunk);
+            DEBUG("Processing Reloc32 hunk.\n");
+            do {
+                READLW(discard, f);
+                if (discard) {
+                    READLW(cur_hunk, f);
+                    DEBUG("Relocating %d offsets pointing to hunk %d.\n", discard, cur_hunk);
+                    for(uint32_t i = 0; i < discard; i++) {
+                        READLW(offs32, f);
+                        DEBUG("#%d: @%.8X in hunk %d\n", i + 1, offs32, cur_hunk);
+                        r[info->reloc_hunks].offset = offs32;
+                        r[info->reloc_hunks].src_hunk = info->current_hunk;
+                        r[info->reloc_hunks].target_hunk = cur_hunk;
+                        info->reloc_hunks++;
+                    }
+                }
+            } while(discard);
+            return 0;
+            break;
+        case HUNKTYPE_SYMBOL:
+            DEBUG("Hunk %d: SYMBOL.\n", info->current_hunk);
+            DEBUG("Processing Symbol hunk.\n");
+            READLW(discard, f);
+            do {
+                if (discard) {
+                    char sstr[256];
+                    memset(sstr, 0x00, 256);
+                    fread(sstr, discard, 4, f);
+                    READLW(discard, f);
+                    DEBUG("Symbol: %s - %.8X\n", sstr, discard);
+                }
+                READLW(discard, f);
+            } while (discard);
+            return 0;
+            break;
+        case HUNKTYPE_BSS:
+            DEBUG("Hunk %d: BSS.\n", info->current_hunk);
+            READLW(discard, f);
+            info->hunk_offsets[info->current_hunk] = ftell(f);
+            DEBUG("Skipping BSS hunk. Size: %d\n", discard * 4);
+            return 0;
+        case HUNKTYPE_DATA:
+            DEBUG("Hunk %d: DATA.\n", info->current_hunk);
+            READLW(discard, f);
+            info->hunk_offsets[info->current_hunk] = ftell(f);
+            DEBUG("Skipping data hunk. Size: %d.\n", discard * 4);
+            fseek(f, discard * 4, SEEK_CUR);
+            return 0;
+            break;
+        case HUNKTYPE_END:
+            DEBUG("END: Ending hunk %d.\n", info->current_hunk);
+            info->current_hunk++;
+            return 0;
+            break;
+        default:
+            DEBUG("Unknown hunk type %.8X! Can't process!\n", index);
+            break;
+    }
+
+    return -1;
+}
+
+void reloc_hunk(struct hunk_reloc *h, uint8_t *buf, struct hunk_info *i) {
+    uint32_t rel = i->hunk_offsets[h->target_hunk];
+    uint32_t *src_ptr = (uint32_t *)(&buf[i->hunk_offsets[h->src_hunk] + h->offset]);
+
+    uint32_t src = be32toh(*src_ptr);
+    uint32_t dst = src + i->base_offset + rel;
+    DEBUG("%.8X -> %.8X\n", src, dst);
+    *src_ptr = htobe32(dst);
+}
+
+void process_hunks(FILE *in, struct hunk_info *h_info, struct hunk_reloc *r) {
+    READLW(lw, in);
+    DEBUG("Hunk ID: %.8X (%s)\n", lw, hunk_id_name(lw));
+
+    while(!feof(in) && process_hunk(lw, h_info, in, r) != -1) {
+        READLW(lw, in);
+        if (feof(in)) goto end_parse;
+        DEBUG("Hunk ID: %.8X (%s)\n", lw, hunk_id_name(lw));
+        DEBUG("File pos: %.8lX\n", ftell(in));
+    }
+    end_parse:;
+    DEBUG("Done processing hunks.\n");
+}
+
+void reloc_hunks(struct hunk_reloc *r, uint8_t *buf, struct hunk_info *h_info) {
+    for(uint32_t i = 0; i < h_info->reloc_hunks; i++) {
+        reloc_hunk(&r[i], buf, h_info);
+        DEBUG("Relocating offset %d.\n", i);
+    }
+    DEBUG("Done relocating offsets.\n");
+}
diff --git a/platforms/amiga/hunk-reloc.h b/platforms/amiga/hunk-reloc.h
new file mode 100644 (file)
index 0000000..afd6c02
--- /dev/null
@@ -0,0 +1,32 @@
+struct hunk_reloc {
+    uint32_t src_hunk;
+    uint32_t target_hunk;
+    uint32_t offset;
+};
+
+struct hunk_info {
+    uint16_t current_hunk;
+    uint16_t num_libs;
+    uint8_t *libnames[256];
+    uint32_t table_size;
+    uint32_t base_offset;
+    uint32_t first_hunk, last_hunk, num_hunks;
+    uint32_t reloc_hunks;
+    uint32_t *hunk_offsets;
+    uint32_t *hunk_sizes;
+};
+
+enum hunk_types {
+    HUNKTYPE_CODE = 0x3E9,
+    HUNKTYPE_DATA = 0x3EA,
+    HUNKTYPE_BSS = 0x3EB,
+    HUNKTYPE_HUNK_RELOC32 = 0x3EC,
+    HUNKTYPE_SYMBOL = 0x3F0,
+    HUNKTYPE_END = 0x3F2,
+    HUNKTYPE_HEADER = 0x3F3,
+};
+
+int process_hunk(uint32_t index, struct hunk_info *info, FILE *f, struct hunk_reloc *r);
+void reloc_hunk(struct hunk_reloc *h, uint8_t *buf, struct hunk_info *i);
+void process_hunks(FILE *in, struct hunk_info *h_info, struct hunk_reloc *r);
+void reloc_hunks(struct hunk_reloc *r, uint8_t *buf, struct hunk_info *h_info);
index b206438e4618a089dabcd6691001e948bf44e043..857bc2c928af53ee576cca5ed78361cd946e7543 100644 (file)
@@ -6,7 +6,7 @@
 #include "pi-net.h"
 #include "pi-net-enums.h"
 #include "../../../config_file/config_file.h"
-#include "../../../gpio/gpio.h"
+#include "../../../gpio/ps_protocol.h"
 
 uint32_t pinet_u32[4];
 static const char *op_type_names[4] = {
diff --git a/platforms/amiga/piscsi/device_driver_amiga/2nd.scsi.device b/platforms/amiga/piscsi/device_driver_amiga/2nd.scsi.device
deleted file mode 100644 (file)
index 6358127..0000000
Binary files a/platforms/amiga/piscsi/device_driver_amiga/2nd.scsi.device and /dev/null differ
index 1bfe54662a83766a84c74eccb25dfa8d9d916f37..110f36f2c5b44434955acc76f8ce4c222ee9ae39 100644 (file)
Binary files a/platforms/amiga/piscsi/device_driver_amiga/bootrom and b/platforms/amiga/piscsi/device_driver_amiga/bootrom differ
index 10ffdd43392c6164e6a4978f38c3767080dd96fb..b2014059a84da42c9e41959b47c5c471ee10f13f 100644 (file)
@@ -117,6 +117,7 @@ DosDevName: dc.b    'ABC',0        ; dos device name for MakeDosNode()
 **********************************************************************
 
 DiagEntry:
+            align 2
             nop
             nop
             nop
@@ -165,6 +166,7 @@ endpatches:
 **********************************************************************
 
 BootEntry:
+            align 2
             move.l  #2,$80000020
             nop
             nop
@@ -213,6 +215,8 @@ Init:       ; After Diag patching, our romtag will point to this
             ; initialization routine, but will MakeDosNode then set up a
             ; BootNode, and Enqueue() on eb_MountList.
             ;
+            align 2
+            move.w #$00B8,$dff09a
             move.l  #3,$80000020
             nop
             nop
@@ -229,11 +233,11 @@ Init:       ; After Diag patching, our romtag will point to this
             nop
             nop
 
+            move.l  #5,$80000020
             move.l  d0,a1
             move.l  #0,d1
             movea.l  4,a6
-            add.l #$16e,a1
-            move.l  #5,$80000020
+            add.l #$02c,a1
             nop
             nop
             nop
@@ -243,5 +247,6 @@ Init:       ; After Diag patching, our romtag will point to this
 
             moveq.l #1,d0           ; indicate "success"
 
+            move.w #$80B8,$dff09a
             rts
             END
index f75f35c7b5ec1eae7a080d28a309bb91a88add3a..a09dad83089e7f5d1b64f035a8ff59ab06174b88 100644 (file)
@@ -1,7 +1,7 @@
 #m68k-amigaos-gcc piscsi-amiga.c -ramiga-dev -noixemul -fbaserel -O2 -o pi-scsi.device -ldebug -lamiga -m68020
-m68k-amigaos-gcc -m68020 -O2 -o pi-scsi.device -ramiga-dev -noixemul -fbaserel piscsi-amiga.c -ldebug -lamiga
-m68k-amigaos-gcc -m68020 -O2 -o scsi.device -ramiga-dev -noixemul -fbaserel piscsi-amiga.c -ldebug -lamiga -D_FSCSIDEV
-m68k-amigaos-gcc -m68020 -O2 -o 2nd.scsi.device -ramiga-dev -noixemul -fbaserel piscsi-amiga.c -ldebug -lamiga -D_FSCSI2ND
+#m68k-amigaos-gcc -m68020 -O2 -o pi-scsi.device -ramiga-dev -noixemul -fbaserel piscsi-amiga.c -ldebug -lamiga
+#m68k-amigaos-gcc -m68020 -O2 -o scsi.device -ramiga-dev -noixemul -fbaserel piscsi-amiga.c -ldebug -lamiga -D_FSCSIDEV
+#m68k-amigaos-gcc -m68020 -O2 -o 2nd.scsi.device -ramiga-dev -noixemul -fbaserel piscsi-amiga.c -ldebug -lamiga -D_FSCSI2ND
 vasmm68k_mot.exe -m68020 -Fhunk -I$VBCC/NDK39/include/include_i bootrom.s -o a.out
 #m68k-amigaos-as -m68020 bootrom.s &&
 m68k-amigaos-objcopy --strip-all ./a.out ./bootrom
diff --git a/platforms/amiga/piscsi/device_driver_amiga/build2.sh b/platforms/amiga/piscsi/device_driver_amiga/build2.sh
new file mode 100644 (file)
index 0000000..831fc11
--- /dev/null
@@ -0,0 +1 @@
+m68k-amigaos-gcc piscsi-amiga-2.c -m68020 -O2 -o pi-scsi.device -m68000 -Wall -Wextra -Wno-unused-parameter -fomit-frame-pointer -nostdlib -nostartfiles
diff --git a/platforms/amiga/piscsi/device_driver_amiga/newstyle.h b/platforms/amiga/piscsi/device_driver_amiga/newstyle.h
new file mode 100644 (file)
index 0000000..ed696b1
--- /dev/null
@@ -0,0 +1,86 @@
+#ifndef     DEVICES_NEWSTYLE_H
+#define     DEVICES_NEWSTYLE_H
+/*------------------------------------------------------------------------*/
+/*
+ * $Id: newstyle.h 1.1 1997/05/15 18:53:15 heinz Exp $
+ *
+ * Support header for the New Style Device standard
+ *
+ * (C)1996-1997 by Amiga International, Inc.
+ *
+ */
+/*------------------------------------------------------------------------*/
+
+/*
+ *  At the moment there is just a single new style general command:
+ */
+
+#define     NSCMD_DEVICEQUERY       0x4000
+
+struct NSDeviceQueryResult {
+    /*
+    ** Standard information, must be reset for every query
+    */
+    ULONG   DevQueryFormat;         /* this is type 0               */
+    ULONG   SizeAvailable;          /* bytes available              */
+
+    /*
+    ** Common information (READ ONLY!)
+    */
+    UWORD   DeviceType;             /* what the device does         */
+    UWORD   DeviceSubType;          /* depends on the main type     */
+    UWORD   *SupportedCommands;     /* 0 terminated list of cmd's   */
+
+    /* May be extended in the future! Check SizeAvailable! */
+};
+
+
+#define     NSDEVTYPE_UNKNOWN       0   /* No suitable category, anything */
+#define     NSDEVTYPE_GAMEPORT      1   /* like gameport.device */
+#define     NSDEVTYPE_TIMER         2   /* like timer.device */
+#define     NSDEVTYPE_KEYBOARD      3   /* like keyboard.device */
+#define     NSDEVTYPE_INPUT         4   /* like input.device */
+#define     NSDEVTYPE_TRACKDISK     5   /* like trackdisk.device */
+#define     NSDEVTYPE_CONSOLE       6   /* like console.device */
+#define     NSDEVTYPE_SANA2         7   /* A >=SANA2R2 networking device */
+#define     NSDEVTYPE_AUDIO         8   /* like audio.device */
+#define     NSDEVTYPE_CLIPBOARD     9   /* like clipboard.device */
+#define     NSDEVTYPE_PRINTER       10  /* like printer.device */
+#define     NSDEVTYPE_SERIAL        11  /* like serial.device */
+#define     NSDEVTYPE_PARALLEL      12  /* like parallel.device */
+
+
+/*------------------------------------------------------------------------*/
+/* The following defines should really be part of device specific
+ * includes. So we protect them from being redefined.
+ */
+#ifndef NSCMD_TD_READ64
+/*
+ *  An early new style trackdisk like device can also return this
+ *  new identifier for TD_GETDRIVETYPE. This should no longer
+ *  be the case though for newly written or updated NSD devices.
+ *  This identifier is ***OBSOLETE***
+ */
+
+#define DRIVE_NEWSTYLE          (0x4E535459L)   /* 'NSTY' */
+
+
+/*
+ *  At the moment, only four new style commands in the device
+ *  specific range and their ETD counterparts may be implemented.
+ */
+
+#define NSCMD_TD_READ64     0xC000
+#define NSCMD_TD_WRITE64    0xC001
+#define NSCMD_TD_SEEK64     0xC002
+#define NSCMD_TD_FORMAT64   0xC003
+
+#define NSCMD_ETD_READ64    0xE000
+#define NSCMD_ETD_WRITE64   0xE001
+#define NSCMD_ETD_SEEK64    0xE002
+#define NSCMD_ETD_FORMAT64  0xE003
+#endif /* NSCMD_TD_READ64 */
+
+/*------------------------------------------------------------------------*/
+
+#endif /* DEVICES_NEWSTYLE_H */
index cf4826887981037c291ca71081f0c997c8668409..f2d70b7d3db39a77a592654e8879a31f91dbc819 100644 (file)
Binary files a/platforms/amiga/piscsi/device_driver_amiga/pi-scsi.device and b/platforms/amiga/piscsi/device_driver_amiga/pi-scsi.device differ
diff --git a/platforms/amiga/piscsi/device_driver_amiga/piscsi-amiga-2.c b/platforms/amiga/piscsi/device_driver_amiga/piscsi-amiga-2.c
new file mode 100644 (file)
index 0000000..05c9218
--- /dev/null
@@ -0,0 +1,653 @@
+#include <exec/resident.h>
+#include <exec/errors.h>
+#include <exec/memory.h>
+#include <exec/lists.h>
+#include <exec/alerts.h>
+#include <exec/tasks.h>
+#include <exec/io.h>
+#include <exec/execbase.h>
+
+#include <libraries/expansion.h>
+
+#include <devices/trackdisk.h>
+#include <devices/timer.h>
+#include <devices/scsidisk.h>
+
+#include <dos/filehandler.h>
+
+#include <proto/exec.h>
+#include <proto/disk.h>
+#include <proto/expansion.h>
+
+#include "newstyle.h"
+
+#include "../piscsi-enums.h"
+#include <stdint.h>
+
+#define STR(s) #s
+#define XSTR(s) STR(s)
+
+#define DEVICE_NAME "pi-scsi.device"
+#define DEVICE_DATE "(3 Feb 2021)"
+#define DEVICE_ID_STRING "PiSCSI " XSTR(DEVICE_VERSION) "." XSTR(DEVICE_REVISION) " " DEVICE_DATE
+#define DEVICE_VERSION 1
+#define DEVICE_REVISION 0
+#define DEVICE_PRIORITY 0
+
+#pragma pack(4)
+struct piscsi_base {
+    struct Device* pi_dev;
+    struct piscsi_unit {
+        struct Unit unit;
+        uint32_t regs_ptr;
+
+        uint8_t enabled;
+        uint8_t present;
+        uint8_t valid;
+        uint8_t read_only;
+        uint8_t motor;
+        uint8_t unit_num;
+        uint16_t h, s;
+        uint32_t c;
+
+        uint32_t change_num;
+    } units[NUM_UNITS];
+};
+
+struct ExecBase *SysBase;
+uint8_t *saved_seg_list;
+uint8_t is_open;
+
+#define WRITESHORT(cmd, val) *(unsigned short *)((unsigned long)(PISCSI_OFFSET+cmd)) = val;
+#define WRITELONG(cmd, val) *(unsigned long *)((unsigned long)(PISCSI_OFFSET+cmd)) = val;
+#define WRITEBYTE(cmd, val) *(unsigned char *)((unsigned long)(PISCSI_OFFSET+cmd)) = val;
+
+#define READSHORT(cmd, var) var = *(volatile unsigned short *)(PISCSI_OFFSET + cmd);
+#define READLONG(cmd, var) var = *(volatile unsigned long *)(PISCSI_OFFSET + cmd);
+
+int __attribute__((no_reorder)) _start()
+{
+    return -1;
+}
+
+asm("romtag:                                \n"
+    "       dc.w    "XSTR(RTC_MATCHWORD)"   \n"
+    "       dc.l    romtag                  \n"
+    "       dc.l    endcode                 \n"
+    "       dc.b    "XSTR(RTF_AUTOINIT)"    \n"
+    "       dc.b    "XSTR(DEVICE_VERSION)"  \n"
+    "       dc.b    "XSTR(NT_DEVICE)"       \n"
+    "       dc.b    "XSTR(DEVICE_PRIORITY)" \n"
+    "       dc.l    _device_name            \n"
+    "       dc.l    _device_id_string       \n"
+    "       dc.l    _auto_init_tables       \n"
+    "endcode:                               \n");
+
+char device_name[] = DEVICE_NAME;
+char device_id_string[] = DEVICE_ID_STRING;
+
+uint8_t piscsi_perform_io(struct piscsi_unit *u, struct IORequest *io);
+uint8_t piscsi_rw(struct piscsi_unit *u, struct IORequest *io);
+uint8_t piscsi_scsi(struct piscsi_unit *u, struct IORequest *io);
+
+//#define debug(...)
+//#define debugval(...)
+#define debug(c, v) WRITESHORT(c, v)
+#define debugval(c, v) WRITELONG(c, v)
+
+struct piscsi_base *dev_base = NULL;
+
+static struct Library __attribute__((used)) *init_device(uint8_t *seg_list asm("a0"), struct Library *dev asm("d0"))
+{
+    SysBase = *(struct ExecBase **)4L;
+
+    debug(PISCSI_DBG_MSG, DBG_INIT);
+
+    dev_base = AllocMem(sizeof(struct piscsi_base), MEMF_PUBLIC | MEMF_CLEAR);
+    dev_base->pi_dev = (struct Device *)dev;
+
+    for (int i = 0; i < NUM_UNITS; i++) {
+        uint16_t r = 0;
+        WRITESHORT(PISCSI_CMD_DRVNUM, i);
+        dev_base->units[i].regs_ptr = PISCSI_OFFSET;
+        READSHORT(PISCSI_CMD_DRVTYPE, r);
+        dev_base->units[i].enabled = r;
+        dev_base->units[i].present = r;
+        dev_base->units[i].valid = r;
+        dev_base->units[i].unit_num = i;
+        if (dev_base->units[i].present) {
+            READLONG(PISCSI_CMD_CYLS, dev_base->units[i].c);
+            READSHORT(PISCSI_CMD_HEADS, dev_base->units[i].h);
+            READSHORT(PISCSI_CMD_SECS, dev_base->units[i].s);
+
+            debugval(PISCSI_DBG_VAL1, dev_base->units[i].c);
+            debugval(PISCSI_DBG_VAL2, dev_base->units[i].h);
+            debugval(PISCSI_DBG_VAL3, dev_base->units[i].s);
+            debug(PISCSI_DBG_MSG, DBG_CHS);
+        }
+        dev_base->units[i].change_num++;
+    }
+
+    return dev;
+}
+
+static uint8_t* __attribute__((used)) expunge(struct Library *dev asm("a6"))
+{
+    debug(PISCSI_DBG_MSG, DBG_CLEANUP);
+    /*if (dev_base->open_count)
+        return 0;
+    FreeMem(dev_base, sizeof(struct piscsi_base));*/
+    return 0;
+}
+
+static void __attribute__((used)) open(struct Library *dev asm("a6"), struct IOExtTD *iotd asm("a1"), uint32_t num asm("d0"), uint32_t flags asm("d1"))
+{
+    //struct Node* node = (struct Node*)iotd;
+    int io_err = IOERR_OPENFAIL;
+
+    //WRITESHORT(PISCSI_CMD_DEBUGME, 1);
+
+    int unit_num = 0;
+    WRITELONG(PISCSI_CMD_DRVNUM, num);
+    READLONG(PISCSI_CMD_DRVNUM, unit_num);
+
+    debugval(PISCSI_DBG_VAL1, unit_num);
+    debugval(PISCSI_DBG_VAL2, flags);
+    debugval(PISCSI_DBG_VAL3, num);
+    debug(PISCSI_DBG_MSG, DBG_OPENDEV);
+
+    if (iotd && unit_num < NUM_UNITS) {
+        if (dev_base->units[unit_num].enabled && dev_base->units[unit_num].present) {
+            io_err = 0;
+            iotd->iotd_Req.io_Unit = (struct Unit*)&dev_base->units[unit_num].unit;
+            iotd->iotd_Req.io_Unit->unit_flags = UNITF_ACTIVE;
+            iotd->iotd_Req.io_Unit->unit_OpenCnt = 1;
+        }
+    }
+
+    iotd->iotd_Req.io_Error = io_err;
+    //dev_base->open_count++;
+}
+
+static uint8_t* __attribute__((used)) close(struct Library *dev asm("a6"), struct IOExtTD *iotd asm("a1"))
+{
+    //dev_base->open_count--;
+    return 0;
+}
+
+static void __attribute__((used)) begin_io(struct Library *dev asm("a6"), struct IORequest *io asm("a1"))
+{
+    if (dev_base == NULL || io == NULL)
+        return;
+    
+    struct piscsi_unit *u;
+    struct Node* node = (struct Node*)io;
+    u = (struct piscsi_unit *)io->io_Unit;
+
+    if (node == NULL || u == NULL)
+        return;
+
+    debugval(PISCSI_DBG_VAL1, io->io_Command);
+    debugval(PISCSI_DBG_VAL2, io->io_Flags);
+    debugval(PISCSI_DBG_VAL3, (io->io_Flags & IOF_QUICK));
+    debug(PISCSI_DBG_MSG, DBG_BEGINIO);
+    io->io_Error = piscsi_perform_io(u, io);
+
+    if (!(io->io_Flags & IOF_QUICK)) {
+        ReplyMsg(&io->io_Message);
+    }
+}
+
+static uint32_t __attribute__((used)) abort_io(struct Library *dev asm("a6"), struct IORequest *io asm("a1"))
+{
+    debug(PISCSI_DBG_MSG, DBG_ABORTIO);
+    if (!io) return IOERR_NOCMD;
+    io->io_Error = IOERR_ABORTED;
+
+    return IOERR_ABORTED;
+}
+
+uint8_t piscsi_rw(struct piscsi_unit *u, struct IORequest *io) {
+    struct IOStdReq *iostd = (struct IOStdReq *)io;
+    struct IOExtTD *iotd = (struct IOExtTD *)io;
+
+    uint8_t* data;
+    uint32_t len;
+    //uint32_t block, num_blocks;
+    uint8_t sderr = 0;
+
+    data = iotd->iotd_Req.io_Data;
+    len = iotd->iotd_Req.io_Length;
+
+    if (data == 0) {
+        return IOERR_BADADDRESS;
+    }
+    if (len < PISCSI_BLOCK_SIZE) {
+        iostd->io_Actual = 0;
+        return IOERR_BADLENGTH;
+    }
+
+    switch (io->io_Command) {
+        case TD_WRITE64:
+        case NSCMD_TD_WRITE64:
+        case TD_FORMAT64:
+        case NSCMD_TD_FORMAT64:
+            WRITELONG(PISCSI_CMD_ADDR1, iostd->io_Offset);
+            WRITELONG(PISCSI_CMD_ADDR2, len);
+            WRITELONG(PISCSI_CMD_ADDR3, (uint32_t)data);
+            WRITELONG(PISCSI_CMD_ADDR4, iostd->io_Actual);
+            WRITESHORT(PISCSI_CMD_WRITE64, u->unit_num);
+            break;
+        case TD_READ64:
+        case NSCMD_TD_READ64:
+            WRITELONG(PISCSI_CMD_ADDR1, iostd->io_Offset);
+            WRITELONG(PISCSI_CMD_ADDR2, len);
+            WRITELONG(PISCSI_CMD_ADDR3, (uint32_t)data);
+            WRITELONG(PISCSI_CMD_ADDR4, iostd->io_Actual);
+            WRITESHORT(PISCSI_CMD_READ64, u->unit_num);
+            break;
+        case TD_FORMAT:
+        case CMD_WRITE:
+            WRITELONG(PISCSI_CMD_ADDR1, (iostd->io_Offset >> 9));
+            WRITELONG(PISCSI_CMD_ADDR2, len);
+            WRITELONG(PISCSI_CMD_ADDR3, (uint32_t)data);
+            WRITESHORT(PISCSI_CMD_WRITE, u->unit_num);
+            break;
+        case CMD_READ:
+            WRITELONG(PISCSI_CMD_ADDR1, (iostd->io_Offset >> 9));
+            WRITELONG(PISCSI_CMD_ADDR2, len);
+            WRITELONG(PISCSI_CMD_ADDR3, (uint32_t)data);
+            WRITESHORT(PISCSI_CMD_READ, u->unit_num);
+            break;
+    }
+
+    if (sderr) {
+        iostd->io_Actual = 0;
+
+        if (sderr & SCSIERR_TIMEOUT)
+            return TDERR_DiskChanged;
+        if (sderr & SCSIERR_PARAM)
+            return TDERR_SeekError;
+        if (sderr & SCSIERR_ADDRESS)
+            return TDERR_SeekError;
+        if (sderr & (SCSIERR_ERASESEQ | SCSIERR_ERASERES))
+            return TDERR_BadSecPreamble;
+        if (sderr & SCSIERR_CRC)
+            return TDERR_BadSecSum;
+        if (sderr & SCSIERR_ILLEGAL)
+            return TDERR_TooFewSecs;
+        if (sderr & SCSIERR_IDLE)
+            return TDERR_PostReset;
+
+        return TDERR_SeekError;
+    } else {
+        iostd->io_Actual = iotd->iotd_Req.io_Length;
+    }
+
+    return 0;
+}
+
+#define PISCSI_ID_STRING "PISTORM Fake SCSI Disk  0.1 1111111111111111"
+
+uint8_t piscsi_scsi(struct piscsi_unit *u, struct IORequest *io)
+{
+    struct IOStdReq *iostd = (struct IOStdReq *)io;
+    struct SCSICmd *scsi = iostd->io_Data;
+    //uint8_t* registers = sdu->sdu_Registers;
+    uint8_t *data = (uint8_t *)scsi->scsi_Data;
+    uint32_t i, block = 0, blocks = 0, maxblocks = 0;
+    uint8_t err = 0;
+    uint8_t write = 0;
+
+    debugval(PISCSI_DBG_VAL1, iostd->io_Length);
+    debugval(PISCSI_DBG_VAL2, scsi->scsi_Command[0]);
+    debugval(PISCSI_DBG_VAL3, scsi->scsi_Command[1]);
+    debugval(PISCSI_DBG_VAL4, scsi->scsi_Command[2]);
+    debugval(PISCSI_DBG_VAL5, scsi->scsi_CmdLength);
+    debug(PISCSI_DBG_MSG, DBG_SCSICMD);
+
+    //maxblocks = u->s * u->c * u->h;
+
+    if (scsi->scsi_CmdLength < 6) {
+        return IOERR_BADLENGTH;
+    }
+
+    if (scsi->scsi_Command == NULL) {
+        return IOERR_BADADDRESS;
+    }
+
+    scsi->scsi_Actual = 0;
+    //iostd->io_Actual = sizeof(*scsi);
+
+    switch (scsi->scsi_Command[0]) {
+        case 0x00:      // TEST_UNIT_READY
+            err = 0;
+            break;
+        
+        case 0x12:      // INQUIRY
+            for (i = 0; i < scsi->scsi_Length; i++) {
+                uint8_t val = 0;
+
+                switch (i) {
+                    case 0: // SCSI device type: direct-access device
+                        val = (0 << 5) | 0;
+                        break;
+                    case 1: // RMB = 1
+                        val = (1 << 7);
+                        break;
+                    case 2: // VERSION = 0
+                        val = 0;
+                        break;
+                    case 3: // NORMACA=0, HISUP = 0, RESPONSE_DATA_FORMAT = 2
+                        val = (0 << 5) | (0 << 4) | 2;
+                        break;
+                    case 4: // ADDITIONAL_LENGTH = 44 - 4
+                        val = 44 - 4;
+                        break;
+                    default:
+                        if (i >= 8 && i < 44)
+                            val = PISCSI_ID_STRING[i - 8];
+                        else
+                            val = 0;
+                        break;
+                }
+                data[i] = val;
+            }
+            scsi->scsi_Actual = i;
+            err = 0;
+            break;
+        
+        case 0x08: // READ (6)
+        case 0x0a: // WRITE (6)
+        case 0x28: // READ (10)
+        case 0x2A: // WRITE (10)
+            switch (scsi->scsi_Command[0]) {
+                case 0x0A:
+                    write = 1;
+                case 0x08:
+                    block = scsi->scsi_Command[1] & 0x1f;
+                    block = (block << 8) | scsi->scsi_Command[2];
+                    block = (block << 8) | scsi->scsi_Command[3];
+                    blocks = scsi->scsi_Command[4];
+                    break;
+                case 0x2A:
+                    write = 1;
+                case 0x28:
+                    block = scsi->scsi_Command[2];
+                    block = (block << 8) | scsi->scsi_Command[3];
+                    block = (block << 8) | scsi->scsi_Command[4];
+                    block = (block << 8) | scsi->scsi_Command[5];
+
+                    blocks = scsi->scsi_Command[7];
+                    blocks = (blocks << 8) | scsi->scsi_Command[8];
+                    break;
+            }
+
+            READLONG(PISCSI_CMD_BLOCKS, maxblocks);
+            if (block + blocks > maxblocks || blocks == 0) {
+                err = IOERR_BADADDRESS;
+                break;
+            }
+            /*if (scsi->scsi_Length < (blocks << SD_SECTOR_SHIFT)) {
+                err = IOERR_BADLENGTH;
+                break;
+            }*/
+            if (data == NULL) {
+                err = IOERR_BADADDRESS;
+                break;
+            }
+
+            if (write == 0) {
+                WRITELONG(PISCSI_CMD_ADDR2, block);
+                WRITELONG(PISCSI_CMD_ADDR2, (blocks << 9));
+                WRITELONG(PISCSI_CMD_ADDR3, (uint32_t)data);
+                WRITESHORT(PISCSI_CMD_READ, u->unit_num);
+            }
+            else {
+                WRITELONG(PISCSI_CMD_ADDR2, block);
+                WRITELONG(PISCSI_CMD_ADDR2, (blocks << 9));
+                WRITELONG(PISCSI_CMD_ADDR3, (uint32_t)data);
+                WRITESHORT(PISCSI_CMD_WRITE, u->unit_num);
+            }
+
+            scsi->scsi_Actual = scsi->scsi_Length;
+            err = 0;
+            break;
+        
+        case 0x25: // READ CAPACITY (10)
+            if (scsi->scsi_CmdLength < 10) {
+                err = HFERR_BadStatus;
+                break;
+            }
+
+            block = *((uint32_t*)&scsi->scsi_Command[2]);
+
+            if (scsi->scsi_Length < 8) {
+                err = IOERR_BADLENGTH;
+                break;
+            }
+
+            READLONG(PISCSI_CMD_BLOCKS, blocks);
+            ((uint32_t*)data)[0] = blocks - 1;
+            ((uint32_t*)data)[1] = PISCSI_BLOCK_SIZE;
+
+            scsi->scsi_Actual = 8;
+            err = 0;
+
+            break;
+        case 0x1a: // MODE SENSE (6)    
+            data[0] = 3 + 8 + 0x16;
+            data[1] = 0; // MEDIUM TYPE
+            data[2] = 0;
+            data[3] = 8;
+
+            READLONG(PISCSI_CMD_BLOCKS, maxblocks);
+            (blocks = (maxblocks - 1) & 0xFFFFFF);
+
+            *((uint32_t *)&data[4]) = blocks;
+            *((uint32_t *)&data[8]) = PISCSI_BLOCK_SIZE;
+
+            switch (((UWORD)scsi->scsi_Command[2] << 8) | scsi->scsi_Command[3]) {
+                case 0x0300: { // Format Device Mode
+                    debug(PISCSI_DBG_MSG, DBG_SCSI_FORMATDEVICE);
+                    uint8_t *datext = data + 12;
+                    datext[0] = 0x03;
+                    datext[1] = 0x16;
+                    datext[2] = 0x00;
+                    datext[3] = 0x01;
+                    *((uint32_t *)&datext[4]) = 0;
+                    *((uint32_t *)&datext[8]) = 0;
+                    *((uint16_t *)&datext[10]) = u->s;
+                    *((uint16_t *)&datext[12]) = PISCSI_BLOCK_SIZE;
+                    datext[14] = 0x00;
+                    datext[15] = 0x01;
+                    *((uint32_t *)&datext[16]) = 0;
+                    datext[20] = 0x80;
+
+                    scsi->scsi_Actual = data[0] + 1;
+                    err = 0;
+                    break;
+                }
+                case 0x0400: // Rigid Drive Geometry
+                    debug(PISCSI_DBG_MSG, DBG_SCSI_RDG);
+                    uint8_t *datext = data + 12;
+                    datext[0] = 0x04;
+                    *((uint32_t *)&datext[1]) = u->c;
+                    datext[1] = 0x16;
+                    datext[5] = u->h;
+                    datext[6] = 0x00;
+                    *((uint32_t *)&datext[6]) = 0;
+                    *((uint32_t *)&datext[10]) = 0;
+                    *((uint32_t *)&datext[13]) = u->c;
+                    datext[17] = 0;
+                    *((uint32_t *)&datext[18]) = 0;
+                    *((uint16_t *)&datext[20]) = 5400;
+
+                    scsi->scsi_Actual = data[0] + 1;
+                    err = 0;
+                    break;
+                
+                default:
+                    debugval(PISCSI_DBG_VAL1, (((UWORD)scsi->scsi_Command[2] << 8) | scsi->scsi_Command[3]));
+                    debug(PISCSI_DBG_MSG, DBG_SCSI_UNKNOWN_MODESENSE);
+                    err = HFERR_BadStatus;
+                    break;
+            }
+            break;
+        
+        case 0x37: // READ DEFECT DATA (10)
+            break;
+        case 0x40: // CHANGE DEFINITION
+            break;
+
+        default:
+            debugval(PISCSI_DBG_VAL1, scsi->scsi_Command[0]);
+            debug(PISCSI_DBG_MSG, DBG_SCSI_UNKNOWN_COMMAND);
+            err = HFERR_BadStatus;
+            break;
+    }
+
+    if (err != 0) {
+        debugval(PISCSI_DBG_VAL1, err);
+        debug(PISCSI_DBG_MSG, DBG_SCSIERR);
+        scsi->scsi_Actual = 0;
+    }
+
+    return err;
+}
+
+uint16_t ns_support[] = {
+    NSCMD_DEVICEQUERY,
+       CMD_RESET,
+       CMD_READ,
+       CMD_WRITE,
+       CMD_UPDATE,
+       CMD_CLEAR,
+       CMD_START,
+       CMD_STOP,
+       CMD_FLUSH,
+       TD_MOTOR,
+       TD_SEEK,
+       TD_FORMAT,
+       TD_REMOVE,
+       TD_CHANGENUM,
+       TD_CHANGESTATE,
+       TD_PROTSTATUS,
+       TD_GETDRIVETYPE,
+       TD_GETGEOMETRY,
+       TD_ADDCHANGEINT,
+       TD_REMCHANGEINT,
+       HD_SCSICMD,
+       NSCMD_TD_READ64,
+       NSCMD_TD_WRITE64,
+       NSCMD_TD_SEEK64,
+       NSCMD_TD_FORMAT64,
+       0,
+};
+
+#define DUMMYCMD iostd->io_Actual = 0; break;
+uint8_t piscsi_perform_io(struct piscsi_unit *u, struct IORequest *io) {
+    struct IOStdReq *iostd = (struct IOStdReq *)io;
+    struct IOExtTD *iotd = (struct IOExtTD *)io;
+
+    //uint8_t *data;
+    //uint32_t len;
+    //uint32_t offset;
+    //struct DriveGeometry *geom;
+    uint8_t err = 0;
+
+    if (!u->enabled) {
+        return IOERR_OPENFAIL;
+    }
+
+    //data = iotd->iotd_Req.io_Data;
+    //len = iotd->iotd_Req.io_Length;
+
+    if (io->io_Error == IOERR_ABORTED) {
+        return io->io_Error;
+    }
+
+    debugval(PISCSI_DBG_VAL1, io->io_Command);
+    debugval(PISCSI_DBG_VAL2, io->io_Flags);
+    debugval(PISCSI_DBG_VAL3, iostd->io_Length);
+    debug(PISCSI_DBG_MSG, DBG_IOCMD);
+
+    switch (io->io_Command) {
+        case NSCMD_DEVICEQUERY: {
+            struct NSDeviceQueryResult *res = (struct NSDeviceQueryResult *)iotd->iotd_Req.io_Data;
+            res->DevQueryFormat = 0;
+            res->SizeAvailable = 16;;
+            res->DeviceType = NSDEVTYPE_TRACKDISK;
+            res->DeviceSubType = 0;
+            res->SupportedCommands = ns_support;
+
+            iostd->io_Actual = 16;
+            return 0;
+            break;
+        }
+        case CMD_CLEAR:
+            /* Invalidate read buffer */
+            DUMMYCMD;
+        case CMD_UPDATE:
+            /* Flush write buffer */
+            DUMMYCMD;
+        case TD_PROTSTATUS:
+            DUMMYCMD;
+        case TD_CHANGENUM:
+            iostd->io_Actual = u->change_num;
+            break;
+        case TD_REMOVE:
+            DUMMYCMD;
+        case TD_CHANGESTATE:
+            DUMMYCMD;
+        case TD_GETDRIVETYPE:
+            iostd->io_Actual = DG_DIRECT_ACCESS;
+            break;
+        case TD_MOTOR:
+            iostd->io_Actual = u->motor;
+            u->motor = iostd->io_Length ? 1 : 0;
+            break;
+
+        case TD_FORMAT:
+        case TD_FORMAT64:
+        case NSCMD_TD_FORMAT64:
+        case TD_READ64:
+        case NSCMD_TD_READ64:
+        case TD_WRITE64:
+        case NSCMD_TD_WRITE64:
+        case CMD_WRITE:
+        case CMD_READ:
+            err = piscsi_rw(u, io);
+            break;
+        case HD_SCSICMD:
+            //err = 0;
+            err = piscsi_scsi(u, io);
+            break;
+        default: {
+            //int cmd = io->io_Command;
+            debug(PISCSI_DBG_MSG, DBG_IOCMD_UNHANDLED);
+            err = IOERR_NOCMD;
+            break;
+        }
+    }
+
+    return err;
+}
+#undef DUMMYCMD
+
+static uint32_t device_vectors[] = {
+    (uint32_t)open,
+    (uint32_t)close,
+    (uint32_t)expunge,
+    0, //extFunc not used here
+    (uint32_t)begin_io,
+    (uint32_t)abort_io,
+    -1
+};
+
+const uint32_t auto_init_tables[4] = {
+    sizeof(struct Library),
+    (uint32_t)device_vectors,
+    0,
+    (uint32_t)init_device
+};
diff --git a/platforms/amiga/piscsi/device_driver_amiga/scsi.device b/platforms/amiga/piscsi/device_driver_amiga/scsi.device
deleted file mode 100644 (file)
index fdc4381..0000000
Binary files a/platforms/amiga/piscsi/device_driver_amiga/scsi.device and /dev/null differ
index 04dfa18d3bbc7633e6bbc98e95f1a91fdb568687..d1ff13d6410c494e8f3ad593e7378780b905cb93 100644 (file)
@@ -32,6 +32,8 @@ enum piscsi_cmds {
     PISCSI_CMD_ADDR4    = 0x1C,
     PISCSI_CMD_DEBUGME  = 0x20,
     PISCSI_CMD_DRIVER   = 0x40,
+    PISCSI_CMD_WRITE64  = 0x50,
+    PISCSI_CMD_READ64   = 0x52,
     PISCSI_DBG_MSG      = 0x1000,
     PISCSI_DBG_VAL1     = 0x1010,
     PISCSI_DBG_VAL2     = 0x1014,
@@ -61,3 +63,13 @@ enum piscsi_dbg_msgs {
     DBG_SCSIERR,
     DBG_IOCMD_UNHANDLED,
 };
+
+#define TD_READ64           24
+#define TD_WRITE64          25
+#define TD_SEEK64           26
+#define TD_FORMAT64         27
+
+#define NSCMD_TD_READ64     0xC000
+#define NSCMD_TD_WRITE64    0xC001
+#define NSCMD_TD_SEEK64     0xC002
+#define NSCMD_TD_FORMAT64   0xC003
index 9f9500b2bf88f798ea11c2d3e5ac010dcc34872b..bfc8186e3f0091630fd80104db83708a0328b49e 100644 (file)
@@ -7,11 +7,19 @@
 #include <endian.h>
 #include "piscsi.h"
 #include "piscsi-enums.h"
+#include "../hunk-reloc.h"
 #include "../../../config_file/config_file.h"
-#include "../../../gpio/gpio.h"
+#include "../../../gpio/ps_protocol.h"
 
 // Comment this line to restore debug output:
-#define printf(...)
+//#define printf(...)
+
+#ifdef FAKESTORM
+#define lseek64 lseek
+#endif
+
+extern struct emulator_config *cfg;
+extern void stop_cpu_emulation(uint8_t disasm_cur);
 
 struct piscsi_dev devs[8];
 uint8_t piscsi_cur_drive = 0;
@@ -29,6 +37,11 @@ static const char *op_type_names[4] = {
     "MEM",
 };
 
+//static const char *partition_marker = "PART";
+
+struct hunk_info piscsi_hinfo;
+struct hunk_reloc piscsi_hreloc[256];
+
 void piscsi_init() {
     for (int i = 0; i < 8; i++) {
         devs[i].fd = -1;
@@ -39,6 +52,7 @@ void piscsi_init() {
     FILE *in = fopen("./platforms/amiga/piscsi/piscsi.rom", "rb");
     if (in == NULL) {
         printf("[PISCSI] Could not open PISCSI Boot ROM file for reading.\n");
+        // Zero out the boot ROM offset from the autoconfig ROM.
         ac_piscsi_rom[20] = 0;
         ac_piscsi_rom[21] = 0;
         ac_piscsi_rom[22] = 0;
@@ -50,10 +64,85 @@ void piscsi_init() {
     fseek(in, 0, SEEK_SET);
     piscsi_rom_ptr = malloc(piscsi_rom_size);
     fread(piscsi_rom_ptr, piscsi_rom_size, 1, in);
+    fclose(in);
+
+    // Parse the hunks in the device driver to find relocation offsets
+    in = fopen("./platforms/amiga/piscsi/device_driver_amiga/pi-scsi.device", "rb");
+    fseek(in, 0x0, SEEK_SET);
+    process_hunks(in, &piscsi_hinfo, piscsi_hreloc);
+
     fclose(in);
     printf("[PISCSI] Loaded Boot ROM.\n");
 }
 
+void piscsi_find_partitions(struct piscsi_dev *d) {
+    int fd = d->fd;
+    char *block = malloc(512);
+    int cur_partition = 0;
+    uint8_t tmp;
+
+    if (!d->rdb || d->rdb->rdb_PartitionList == 0) {
+        printf("[PISCSI] No partitions on disk.\n");
+        return;
+    }
+
+    lseek(fd, be32toh(d->rdb->rdb_PartitionList) * 512, SEEK_SET);
+next_partition:;
+    read(fd, block, 512);
+
+    struct PartitionBlock *pb = (struct PartitionBlock *)block;
+    tmp = pb->pb_DriveName[0];
+    pb->pb_DriveName[tmp + 1] = 0x00;
+    printf("Partition %d: %s\n", cur_partition, pb->pb_DriveName + 1);
+    d->pb[cur_partition] = pb;
+
+    if (d->pb[cur_partition]->pb_Next != 0xFFFFFFFF) {
+        uint64_t next = be32toh(pb->pb_Next);
+        block = malloc(512);
+        lseek64(fd, next * 512, SEEK_SET);
+        cur_partition++;
+        printf("Next partition at block %d.\n", be32toh(pb->pb_Next));
+        goto next_partition;
+    }
+    printf("No more partitions on disk.\n");
+
+    return;
+}
+
+int piscsi_parse_rdb(struct piscsi_dev *d) {
+    int fd = d->fd;
+    int i = 0;
+    uint8_t *block = malloc(512);
+
+    lseek(fd, 0, SEEK_SET);
+    for (i = 0; i < RDB_BLOCK_LIMIT; i++) {
+        read(fd, block, 512);
+        uint32_t first = be32toh(*((uint32_t *)&block[0]));
+        if (first == RDB_IDENTIFIER)
+            goto rdb_found;
+        else {
+            printf("Block %d is not RDB, looking for %.8X, found %.8X\n", i, RDB_IDENTIFIER, first);
+        }
+    }
+    goto no_rdb_found;
+rdb_found:;
+    struct RigidDiskBlock *rdb = (struct RigidDiskBlock *)block;
+    printf("[PISCSI] RDB found at block %d.\n", i);
+    d->c = be32toh(rdb->rdb_Cylinders);
+    d->h = be32toh(rdb->rdb_Heads);
+    d->s = be32toh(rdb->rdb_Sectors);
+    printf("[PISCSI] RDB - first partition at block %d.\n", be32toh(rdb->rdb_PartitionList));
+    d->rdb = rdb;
+    sprintf(d->rdb->rdb_DriveInitName, "pi-scsi.device");
+    return 0;
+
+no_rdb_found:;
+    if (block)
+        free(block);
+
+    return -1;
+}
+
 void piscsi_map_drive(char *filename, uint8_t index) {
     if (index > 7) {
         printf("[PISCSI] Drive index %d out of range.\nUnable to map file %s to drive.\n", index, filename);
@@ -69,14 +158,21 @@ void piscsi_map_drive(char *filename, uint8_t index) {
     struct piscsi_dev *d = &devs[index];
 
     uint64_t file_size = lseek(tmp_fd, 0, SEEK_END);
+    d->fs = file_size;
+    d->fd = tmp_fd;
     lseek(tmp_fd, 0, SEEK_SET);
     printf("[PISCSI] Map %d: [%s] - %llu bytes.\n", index, filename, file_size);
-    d->h = 64;
-    d->s = 63;
-    d->c = (file_size / 512) / (d->s * d->h);
+
+    if (piscsi_parse_rdb(d) == -1) {
+        printf("[PISCSI] No RDB found on disk, making up some CHS values.\n");
+        d->h = 64;
+        d->s = 63;
+        d->c = (file_size / 512) / (d->s * d->h);
+    }
     printf("[PISCSI] CHS: %d %d %d\n", d->c, d->h, d->s);
-    d->fs = file_size;
-    d->fd = tmp_fd;
+
+    piscsi_find_partitions(d);
+    //stop_cpu_emulation(1);
 }
 
 void piscsi_unmap_drive(uint8_t index) {
@@ -87,48 +183,6 @@ void piscsi_unmap_drive(uint8_t index) {
     }
 }
 
-#define        TDF_EXTCOM (1<<15)
-
-#define CMD_INVALID    0
-#define CMD_RESET      1
-#define CMD_READ       2
-#define CMD_WRITE      3
-#define CMD_UPDATE     4
-#define CMD_CLEAR      5
-#define CMD_STOP       6
-#define CMD_START      7
-#define CMD_FLUSH      8
-#define CMD_NONSTD     9
-
-#define        TD_MOTOR        (CMD_NONSTD+0)
-#define        TD_SEEK         (CMD_NONSTD+1)
-#define        TD_FORMAT       (CMD_NONSTD+2)
-#define        TD_REMOVE       (CMD_NONSTD+3)
-#define        TD_CHANGENUM    (CMD_NONSTD+4)
-#define        TD_CHANGESTATE  (CMD_NONSTD+5)
-#define        TD_PROTSTATUS   (CMD_NONSTD+6)
-#define        TD_RAWREAD      (CMD_NONSTD+7)
-#define        TD_RAWWRITE     (CMD_NONSTD+8)
-#define        TD_GETDRIVETYPE (CMD_NONSTD+9)
-#define        TD_GETNUMTRACKS (CMD_NONSTD+10)
-#define        TD_ADDCHANGEINT (CMD_NONSTD+11)
-#define        TD_REMCHANGEINT (CMD_NONSTD+12)
-#define TD_GETGEOMETRY (CMD_NONSTD+13)
-#define TD_EJECT       (CMD_NONSTD+14)
-#define        TD_LASTCOMM     (CMD_NONSTD+15)
-
-#define        ETD_WRITE       (CMD_WRITE|TDF_EXTCOM)
-#define        ETD_READ        (CMD_READ|TDF_EXTCOM)
-#define        ETD_MOTOR       (TD_MOTOR|TDF_EXTCOM)
-#define        ETD_SEEK        (TD_SEEK|TDF_EXTCOM)
-#define        ETD_FORMAT      (TD_FORMAT|TDF_EXTCOM)
-#define        ETD_UPDATE      (CMD_UPDATE|TDF_EXTCOM)
-#define        ETD_CLEAR       (CMD_CLEAR|TDF_EXTCOM)
-#define        ETD_RAWREAD     (TD_RAWREAD|TDF_EXTCOM)
-#define        ETD_RAWWRITE    (TD_RAWWRITE|TDF_EXTCOM)
-
-#define HD_SCSICMD 28
-
 char *io_cmd_name(int index) {
     switch (index) {
         case CMD_INVALID: return "INVALID";
@@ -155,8 +209,14 @@ char *io_cmd_name(int index) {
         case TD_REMCHANGEINT: return "REMCHANGEINT";
         case TD_GETGEOMETRY: return "GETGEOMETRY";
         case TD_EJECT: return "EJECT";
-        case TD_LASTCOMM: return "LASTCOMM";
+        case TD_LASTCOMM: return "LASTCOMM/READ64";
+        case TD_WRITE64: return "WRITE64";
         case HD_SCSICMD: return "HD_SCSICMD";
+        case NSCMD_DEVICEQUERY: return "NSCMD_DEVICEQUERY";
+        case NSCMD_TD_READ64: return "NSCMD_TD_READ64";
+        case NSCMD_TD_WRITE64: return "NSCMD_TD_WRITE64";
+        case NSCMD_TD_FORMAT64: return "NSCMD_TD_FORMAT64";
+
         default:
             return "!!!Unhandled IO command";
     }
@@ -166,8 +226,10 @@ char *scsi_cmd_name(int index) {
     switch(index) {
         case 0x00: return "TEST UNIT READY";
         case 0x12: return "INQUIRY";
-        case 0x08: return "READ";
-        case 0x0A: return "WRITE";
+        case 0x08: return "READ (6)";
+        case 0x0A: return "WRITE (6)";
+        case 0x28: return "READ (10)";
+        case 0x2A: return "WRITE (10)";
         case 0x25: return "READ CAPACITY";
         case 0x1A: return "MODE SENSE";
         case 0x37: return "READ DEFECT DATA";
@@ -213,67 +275,84 @@ void print_piscsi_debug_message(int index) {
             printf("[PISCSI] IO Command %d (%s)\n", piscsi_dbg[0], io_cmd_name(piscsi_dbg[0]));
             break;
         case DBG_IOCMD_UNHANDLED:
-            printf("[PISCSI] WARN: IO command %d (%s) is unhandled by driver.\n", piscsi_dbg[0], io_cmd_name(piscsi_dbg[0]));
+            printf("[PISCSI] WARN: IO command %.4X (%s) is unhandled by driver.\n", piscsi_dbg[0], io_cmd_name(piscsi_dbg[0]));
     }
 }
 
-extern struct emulator_config *cfg;
-extern void stop_cpu_emulation(uint8_t disasm_cur);
-
 void handle_piscsi_write(uint32_t addr, uint32_t val, uint8_t type) {
     int32_t r;
 
     struct piscsi_dev *d = &devs[piscsi_cur_drive];
 
-    switch (addr & 0xFFFF) {
+    uint16_t cmd = (addr & 0xFFFF);
+
+    switch (cmd) {
+        case PISCSI_CMD_READ64:
         case PISCSI_CMD_READ:
             d = &devs[val];
             if (d->fd == -1) {
                 printf ("[PISCSI] BUG: Attempted read from unmapped drive %d.\n", piscsi_cur_drive);
                 break;
             }
-            printf("[PISCSI] %d byte READ from block %d to address %.8X\n", piscsi_u32[1], piscsi_u32[0], piscsi_u32[2]);
-            d->lba = piscsi_u32[0];
+
+            if (cmd == PISCSI_CMD_READ) {
+                printf("[PISCSI] %d byte READ from block %d to address %.8X\n", piscsi_u32[1], piscsi_u32[0], piscsi_u32[2]);
+                d->lba = piscsi_u32[0];
+                lseek(d->fd, (piscsi_u32[0] * 512), SEEK_SET);
+            }
+            else {
+                uint64_t src = piscsi_u32[3];
+                src = (src << 32) | piscsi_u32[0];
+                printf("[PISCSI] %d byte READ64 from block %lld to address %.8X\n", piscsi_u32[1], (src / 512), piscsi_u32[2]);
+                d->lba = (src / 512);
+                lseek64(d->fd, src, SEEK_SET);
+            }
+
             r = get_mapped_item_by_address(cfg, piscsi_u32[2]);
             if (r != -1 && cfg->map_type[r] == MAPTYPE_RAM) {
                 printf("[PISCSI] \"DMA\" Read goes to mapped range %d.\n", r);
-                lseek(d->fd, (piscsi_u32[0] * 512), SEEK_SET);
                 read(d->fd, cfg->map_data[r] + piscsi_u32[2] - cfg->map_offset[r], piscsi_u32[1]);
             }
             else {
                 printf("[PISCSI] No mapped range found for read.\n");
                 uint8_t c = 0;
-                lseek(d->fd, (piscsi_u32[0] * 512), SEEK_SET);
-                for (int i = 0; i < piscsi_u32[1]; i++) {
+                for (uint32_t i = 0; i < piscsi_u32[1]; i++) {
                     read(d->fd, &c, 1);
-#ifndef FAKESTORM
                     write8(piscsi_u32[2] + i, (uint32_t)c);
-#endif
                 }
             }
             break;
+        case PISCSI_CMD_WRITE64:
         case PISCSI_CMD_WRITE:
             d = &devs[val];
             if (d->fd == -1) {
                 printf ("[PISCSI] BUG: Attempted write to unmapped drive %d.\n", piscsi_cur_drive);
                 break;
             }
-            d->lba = piscsi_u32[0];
-            printf("[PISCSI] %d byte WRITE to block %d from address %.8X\n", piscsi_u32[1], piscsi_u32[0], piscsi_u32[2]);
+
+            if (cmd == PISCSI_CMD_WRITE) {
+                printf("[PISCSI] %d byte WRITE to block %d from address %.8X\n", piscsi_u32[1], piscsi_u32[0], piscsi_u32[2]);
+                d->lba = piscsi_u32[0];
+                lseek(d->fd, (piscsi_u32[0] * 512), SEEK_SET);
+            }
+            else {
+                uint64_t src = piscsi_u32[3];
+                src = (src << 32) | piscsi_u32[0];
+                printf("[PISCSI] %d byte WRITE64 to block %lld from address %.8X\n", piscsi_u32[1], (src / 512), piscsi_u32[2]);
+                d->lba = (src / 512);
+                lseek64(d->fd, src, SEEK_SET);
+            }
+
             r = get_mapped_item_by_address(cfg, piscsi_u32[2]);
             if (r != -1) {
                 printf("[PISCSI] \"DMA\" Write comes from mapped range %d.\n", r);
-                lseek(d->fd, (piscsi_u32[0] * 512), SEEK_SET);
                 write(d->fd, cfg->map_data[r] + piscsi_u32[2] - cfg->map_offset[r], piscsi_u32[1]);
             }
             else {
                 printf("[PISCSI] No mapped range found for write.\n");
                 uint8_t c = 0;
-                lseek(d->fd, (piscsi_u32[0] * 512), SEEK_SET);
-                for (int i = 0; i < piscsi_u32[1]; i++) {
-#ifndef FAKESTORM
+                for (uint32_t i = 0; i < piscsi_u32[1]; i++) {
                     c = read8(piscsi_u32[2] + i);
-#endif
                     write(d->fd, &c, 1);
                 }
             }
@@ -305,33 +384,13 @@ void handle_piscsi_write(uint32_t addr, uint32_t val, uint8_t type) {
             int r = get_mapped_item_by_address(cfg, val);
             if (r != -1) {
                 uint32_t addr = val - cfg->map_offset[r];
-                uint32_t rt_offs = 0;
                 uint8_t *dst_data = cfg->map_data[r];
                 memcpy(dst_data + addr, piscsi_rom_ptr + 0x400, 0x3C00);
-                
-                uint32_t base_offs = be32toh(*((uint32_t *)&dst_data[addr + 0x170])) + 2;
-                rt_offs = val + 0x16E;
-                printf ("Offset 1: %.8X -> %.8X\n", base_offs, rt_offs);
-                *((uint32_t *)&dst_data[addr + 0x170]) = htobe32(rt_offs);
-
-                uint32_t offs = be32toh(*((uint32_t *)&dst_data[addr + 0x174]));
-                printf ("Offset 2: %.8X -> %.8X\n", offs, (offs - base_offs) + rt_offs);
-                *((uint32_t *)&dst_data[addr + 0x174]) = htobe32((offs - base_offs) + rt_offs);
-
-                dst_data[addr + 0x178] |= 0x07;
-
-                offs = be32toh(*((uint32_t *)&dst_data[addr + 0x17C]));
-                printf ("Offset 3: %.8X -> %.8X\n", offs, (offs - base_offs) + rt_offs);
-                *((uint32_t *)&dst_data[addr + 0x17C]) = htobe32((offs - base_offs) + rt_offs);
-
-                offs = be32toh(*((uint32_t *)&dst_data[addr + 0x180]));
-                printf ("Offset 4: %.8X -> %.8X\n", offs, (offs - base_offs) + rt_offs);
-                *((uint32_t *)&dst_data[addr + 0x180]) = htobe32((offs - base_offs) + rt_offs);
-
-                offs = be32toh(*((uint32_t *)&dst_data[addr + 0x184]));
-                printf ("Offset 5: %.8X -> %.8X\n", offs, (offs - base_offs) + rt_offs);
-                *((uint32_t *)&dst_data[addr + 0x184]) = htobe32((offs - base_offs) + rt_offs);
 
+                piscsi_hinfo.base_offset = val;
+                
+                reloc_hunks(piscsi_hreloc, dst_data + addr, &piscsi_hinfo);
+                stop_cpu_emulation(1);
             }
             else {
                 for (int i = 0; i < 0x3C00; i++) {
@@ -364,20 +423,20 @@ uint32_t handle_piscsi_read(uint32_t addr, uint8_t type) {
     if ((addr & 0xFFFF) >= PISCSI_CMD_ROM) {
         uint32_t romoffs = (addr & 0xFFFF) - PISCSI_CMD_ROM;
         if (romoffs < (piscsi_rom_size + PIB)) {
-            printf("[PISCSI] %s read from Boot ROM @$%.4X (%.8X): ", op_type_names[type], romoffs, addr);
+            //printf("[PISCSI] %s read from Boot ROM @$%.4X (%.8X): ", op_type_names[type], romoffs, addr);
             uint32_t v = 0;
             switch (type) {
                 case OP_TYPE_BYTE:
                     v = piscsi_rom_ptr[romoffs - PIB];
-                    printf("%.2X\n", v);
+                    //printf("%.2X\n", v);
                     break;
                 case OP_TYPE_WORD:
                     v = be16toh(*((uint16_t *)&piscsi_rom_ptr[romoffs - PIB]));
-                    printf("%.4X\n", v);
+                    //printf("%.4X\n", v);
                     break;
                 case OP_TYPE_LONGWORD:
                     v = be32toh(*((uint32_t *)&piscsi_rom_ptr[romoffs - PIB]));
-                    printf("%.8X\n", v);
+                    //printf("%.8X\n", v);
                     break;
             }
             return v;
index 562b81f8a32d1963ada18d1edef6a675aabcac9f..7940a4f887b5fcb4f7a4bed936a5620eed878a5a 100644 (file)
@@ -1,3 +1,54 @@
+#define        TDF_EXTCOM (1<<15)
+
+#define CMD_INVALID    0
+#define CMD_RESET      1
+#define CMD_READ       2
+#define CMD_WRITE      3
+#define CMD_UPDATE     4
+#define CMD_CLEAR      5
+#define CMD_STOP       6
+#define CMD_START      7
+#define CMD_FLUSH      8
+#define CMD_NONSTD     9
+
+#define        TD_MOTOR        (CMD_NONSTD+0)      // 9
+#define        TD_SEEK         (CMD_NONSTD+1)      // 10
+#define        TD_FORMAT       (CMD_NONSTD+2)      // 11
+#define        TD_REMOVE       (CMD_NONSTD+3)      // 12
+#define        TD_CHANGENUM    (CMD_NONSTD+4)  // 13
+#define        TD_CHANGESTATE  (CMD_NONSTD+5)  // 15
+#define        TD_PROTSTATUS   (CMD_NONSTD+6)  // 16
+#define        TD_RAWREAD      (CMD_NONSTD+7)      // 17
+#define        TD_RAWWRITE     (CMD_NONSTD+8)      // 18
+#define        TD_GETDRIVETYPE (CMD_NONSTD+9)  // 19
+#define        TD_GETNUMTRACKS (CMD_NONSTD+10) // 20
+#define        TD_ADDCHANGEINT (CMD_NONSTD+11) // 21
+#define        TD_REMCHANGEINT (CMD_NONSTD+12) // 22
+#define TD_GETGEOMETRY (CMD_NONSTD+13) // 23
+#define TD_EJECT       (CMD_NONSTD+14)     // 24
+#define        TD_LASTCOMM     (CMD_NONSTD+15)     // 25
+
+#define        ETD_WRITE       (CMD_WRITE|TDF_EXTCOM)
+#define        ETD_READ        (CMD_READ|TDF_EXTCOM)
+#define        ETD_MOTOR       (TD_MOTOR|TDF_EXTCOM)
+#define        ETD_SEEK        (TD_SEEK|TDF_EXTCOM)
+#define        ETD_FORMAT      (TD_FORMAT|TDF_EXTCOM)
+#define        ETD_UPDATE      (CMD_UPDATE|TDF_EXTCOM)
+#define        ETD_CLEAR       (CMD_CLEAR|TDF_EXTCOM)
+#define        ETD_RAWREAD     (TD_RAWREAD|TDF_EXTCOM)
+#define        ETD_RAWWRITE    (TD_RAWWRITE|TDF_EXTCOM)
+
+#define HD_SCSICMD 28
+
+#define NSCMD_DEVICEQUERY 0x4000
+#define NSCMD_TD_READ64   0xC000
+#define NSCMD_TD_WRITE64  0xC001
+#define NSCMD_TD_SEEK64   0xC002
+#define NSCMD_TD_FORMAT64 0xC003
+
+#define RDB_BLOCK_LIMIT 16
+#define RDB_IDENTIFIER 0x5244534B
+
 void piscsi_init();
 void piscsi_map_drive(char *filename, uint8_t index);
 
@@ -12,4 +63,113 @@ struct piscsi_dev {
     uint64_t fs;
     int32_t fd;
     uint32_t lba;
+    // Will parse max eight partitions per disk
+    struct PartitionBlock *pb[16];
+    struct RigidDiskBlock *rdb;
+};
+
+/*
+dosnode: \
+//  .long 0 /* dos disk name */
+//  .long 0 /* device file name */
+//  .long 0 /* unit */
+//  .long 0 /* flags */
+//  .long 16 /* length of node? */
+//  .long 128 /* longs in a block */
+//  .long 0
+//  .long 4 /* surfaces */
+//  .long 1 /* sectors per block */
+//  .long 256 /* blocks per track */
+//  .long 2 /* reserved bootblocks 256 = 128KB */
+//  .long 0
+//  .long 0
+//  .long 2  /* lowcyl FIXME */
+//  /*.long 2047*/ /* hicyl */
+//  .long 10 /* buffers */
+//  .long 0 /* MEMF_ANY */
+//  .long 0x0001fe00 /* MAXTRANS */
+//  .long 0x7ffffffe /* Mask */
+//  .long -1 /* boot prio */
+//  .long 0x444f5303 /* dostype: DOS3 */1
+
+struct pihd_dosnode_data {
+    uint32_t name_ptr;
+    uint32_t dev_name_ptr;
+    uint32_t unit;
+    uint32_t flags;
+    uint32_t node_len;
+    uint32_t block_len;
+    uint32_t pad1;
+    uint32_t surf;
+    uint32_t secs_per_block;
+    uint32_t blocks_per_track;
+    uint32_t reserved_blocks;
+    uint32_t pad2;
+    uint32_t pad3;
+    uint32_t lowcyl;
+    uint32_t highcyl;
+    uint32_t buffers;
+    uint32_t mem_type;
+    uint32_t maxtransfer;
+    uint32_t transfer_mask;
+    uint32_t priority;
+    uint32_t dostype;
+};
+
+struct RigidDiskBlock {
+    uint32_t   rdb_ID;
+    uint32_t   rdb_SummedLongs;
+    int32_t    rdb_ChkSum;
+    uint32_t   rdb_HostID;
+    uint32_t   rdb_BlockBytes;
+    uint32_t   rdb_Flags;
+    /* block list heads */
+    uint32_t   rdb_BadBlockList;
+    uint32_t   rdb_PartitionList;
+    uint32_t   rdb_FileSysHeaderList;
+    uint32_t   rdb_DriveInit;
+    uint32_t   rdb_Reserved1[6];
+    /* physical drive characteristics */
+    uint32_t   rdb_Cylinders;
+    uint32_t   rdb_Sectors;
+    uint32_t   rdb_Heads;
+    uint32_t   rdb_Interleave;
+    uint32_t   rdb_Park;
+    uint32_t   rdb_Reserved2[3];
+    uint32_t   rdb_WritePreComp;
+    uint32_t   rdb_ReducedWrite;
+    uint32_t   rdb_StepRate;
+    uint32_t   rdb_Reserved3[5];
+    /* logical drive characteristics */
+    uint32_t   rdb_RDBBlocksLo;
+    uint32_t   rdb_RDBBlocksHi;
+    uint32_t   rdb_LoCylinder;
+    uint32_t   rdb_HiCylinder;
+    uint32_t   rdb_CylBlocks;
+    uint32_t   rdb_AutoParkSeconds;
+    uint32_t   rdb_HighRDSKBlock;
+    uint32_t   rdb_Reserved4;
+    /* drive identification */
+    char    rdb_DiskVendor[8];
+    char    rdb_DiskProduct[16];
+    char    rdb_DiskRevision[4];
+    char    rdb_ControllerVendor[8];
+    char    rdb_ControllerProduct[16];
+    char    rdb_ControllerRevision[4];
+    char    rdb_DriveInitName[40];
+};
+
+struct PartitionBlock {
+    uint32_t   pb_ID;
+    uint32_t   pb_SummedLongs;
+    int32_t    pb_ChkSum;
+    uint32_t   pb_HostID;
+    uint32_t   pb_Next;
+    uint32_t   pb_Flags;
+    uint32_t   pb_Reserved1[2];
+    uint32_t   pb_DevFlags;
+    uint8_t    pb_DriveName[32];
+    uint32_t   pb_Reserved2[15];
+    uint32_t   pb_Environment[20];
+    uint32_t   pb_EReserved[12];
 };
index 1f2bb2d7208c21e764dc8f7518c80815ce214791..aaede2a14b5cb9171724d97ddf21568ea2ac0bf7 100644 (file)
Binary files a/platforms/amiga/piscsi/piscsi.rom and b/platforms/amiga/piscsi/piscsi.rom differ
index 8fec0970924da9e94c29663fbbec2e42b82d88b1..0e49121cad9a887a39e0c569889f2e52df8d8b57 100644 (file)
@@ -1,12 +1,15 @@
 # PiSCSI Interface/Device driver for Amiga
 
 Intended to be used as a high performance replacement for scsi.device, can currently be used for mounting raw RDB disk images (RDSK) for use in Workbench.
+
 This driver and interface is work in progress, do not use it in conjunction with any critical data that you need to survive.
 
 # Instructions
 
 In a perfect world, the PiSCSI boot ROM would automatically detect drives/partitions and add them as boot nodes to be available during early startup, but this is not yet possible.
+
 To enable the PiSCSI interface, uncomment the `setvar piscsi` line in default.cfg, or add it to the config file you're currently using.
+
 Add disk images to the PiSCSI interface by uncommenting the `piscsi0` and `piscsi1` lines and editing them to point at the disk image(s) you want to use. `piscsi0` through `piscsi6` are available for a total of seven mapped drives.
 
 To get a hard drive image mounted when WB starts, you need a few things:
@@ -18,17 +21,26 @@ To get a hard drive image mounted when WB starts, you need a few things:
 
 Now open a new CLI, and type something like:
 `giggledisk device=pi-scsi.device unit=0 to=RAM:PI0`
+
 This will create a MountList file called `PI0` on the RAM disk, which contains almost all the information needed to mount the drive and its partitions in Workbench.
+
 You'll have to start up your favorite (or least hated) text editor and change the contents of the file a bit.
+
 Above the `FileSystem` line, you'll notice a drive identifier. This line might say something along the lines of `DH0` or `PDH0`, etc, and it must be removed, otherwise the file can't be parsed.
+
 The `FileSystem` line will usually be empty, so you have to fill this out yourself. For instance, you can set it to something like `L:FastFileSystem` to use the standard file system for the drive, or `L:fat95` in case the image is in a format that fat95 can handle.
+
 Thus, an edited line would look something like `FileSystem       = L:FastFileSystem`
+
 If the MountList has several partitions listed in it, it must be split up into separate files for all partitions to be mounted.
+
 Once you've edited a MountList file, simply copy/move it to `SYS:Devs:DOSDrivers`, and the drive will be mounted automatically the next time you boot into Workbench.
+
 If you don't want it to be mounted automatically, simply use the `Mount` command from CLI.
 
 # A big word of caution
 
 While the PiSCSI interface can be used to mount physical drives that are available as block device nodes on the Pi, you should not do this unless you are absolutely sure what you're doing.
+
 Directly mounting a block device connected to the Pi may corrupt or destroy the data on the device, depending on what you or the file system drivers do with this disk on the Amiga side.
 
index 79e97f4ec15eb2434e1f55d6393d420df8c0e528..95b77983991e48fa00e59ce6621745439637c7de 100644 (file)
@@ -4,7 +4,7 @@
 #include <string.h>
 #include "../../../config_file/config_file.h"
 #ifndef FAKESTORM
-#include "../../../gpio/gpio.h"
+#include "../../../gpio/ps_protocol.h"
 #endif
 #include "rtg.h"
 
diff --git a/rtl/bitstream.svf b/rtl/bitstream.svf
new file mode 100644 (file)
index 0000000..a815d23
--- /dev/null
@@ -0,0 +1,22403 @@
+!Copyright (C) 2020  Intel Corporation. All rights reserved.
+!Your use of Intel Corporation's design tools, logic functions 
+!and other software and tools, and any partner logic 
+!functions, and any output files from any of the foregoing 
+!(including device programming or simulation files), and any 
+!associated documentation or information are expressly subject 
+!to the terms and conditions of the Intel Program License 
+!Subscription Agreement, the Intel Quartus Prime License Agreement,
+!the Intel FPGA IP License Agreement, or other applicable license
+!agreement, including, without limitation, that your use is for
+!the sole purpose of programming logic devices manufactured by
+!Intel and sold by Intel or its authorized distributors.  Please
+!refer to the applicable agreement for further details, at
+!https://fpgasoftware.intel.com/eula.
+!
+!Quartus Prime SVF converter 20.1
+!
+!Device #1: EPM570 - output_files/pistorm.pof Thu Feb 04 12:10:15 2021
+!
+!NOTE "USERCODE" "00338324";
+!
+!NOTE "CHECKSUM" "00338362";
+!
+!
+!
+FREQUENCY 1.00E+05 HZ;
+!
+!
+!
+TRST ABSENT;
+ENDDR IDLE;
+ENDIR IRPAUSE;
+STATE IDLE;
+SIR 10 TDI (005);
+RUNTEST IDLE 4 TCK ENDSTATE IDLE;
+SDR 480 TDI (FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF);
+SIR 10 TDI (3FF);
+RUNTEST 103 TCK;
+SIR 10 TDI (2CC);
+RUNTEST 103 TCK;
+!
+!
+!
+!CHECKING SILICON ID
+!
+!
+!
+SIR 10 TDI (203);
+RUNTEST 4 TCK;
+SDR 14 TDI (0111);
+SIR 10 TDI (205);
+RUNTEST 4 TCK;
+SDR 16 TDI (FFFF) TDO (8232) MASK (FFFF);
+SDR 16 TDI (FFFF) TDO (2AA2);
+SDR 16 TDI (FFFF) TDO (4A82);
+SDR 16 TDI (FFFF) TDO (0C2C);
+SDR 16 TDI (FFFF) TDO (0000);
+!
+!
+!
+!BULK ERASE
+!
+!
+!
+SIR 10 TDI (203);
+RUNTEST 4 TCK;
+SDR 14 TDI (0021);
+SIR 10 TDI (2F2);
+RUNTEST 50003 TCK;
+SIR 10 TDI (203);
+RUNTEST 4 TCK;
+SDR 14 TDI (0001);
+SIR 10 TDI (2F2);
+RUNTEST 50003 TCK;
+SIR 10 TDI (203);
+RUNTEST 4 TCK;
+SDR 14 TDI (0000);
+SIR 10 TDI (2F2);
+RUNTEST 50003 TCK;
+!
+!
+!
+!PROGRAM
+!
+!
+!
+SIR 10 TDI (203);
+RUNTEST 4 TCK;
+SDR 14 TDI (0000);
+SIR 10 TDI (2F4);
+RUNTEST 4 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (F9FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FF9E);
+RUNTEST 10 TCK;
+SDR 16 TDI (AF9E);
+RUNTEST 10 TCK;
+SDR 16 TDI (7CF9);
+RUNTEST 10 TCK;
+SDR 16 TDI (7CF9);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFC);
+RUNTEST 10 TCK;
+SDR 16 TDI (7F3E);
+RUNTEST 10 TCK;
+SDR 16 TDI (79F3);
+RUNTEST 10 TCK;
+SDR 16 TDI (BCFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (E7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFF7);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FEEE);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFB);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFEE);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (7BBB);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF2);
+RUNTEST 10 TCK;
+SDR 16 TDI (BEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (DDED);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BEEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FEFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFB);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B9FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EE7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFA);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFE7);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FDFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (DDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B6FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (DDBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (DFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFB);
+RUNTEST 10 TCK;
+SDR 16 TDI (BDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (DFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFB);
+RUNTEST 10 TCK;
+SDR 16 TDI (BEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (DEBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFF7);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (DD7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF4);
+RUNTEST 10 TCK;
+SDR 16 TDI (7BFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BD5F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF4);
+RUNTEST 10 TCK;
+SDR 16 TDI (79FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B2FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (75FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B2FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (77FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AD5F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF4);
+RUNTEST 10 TCK;
+SDR 16 TDI (7BFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF4);
+RUNTEST 10 TCK;
+SDR 16 TDI (7BFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (3333);
+RUNTEST 10 TCK;
+SDR 16 TDI (63E6);
+RUNTEST 10 TCK;
+SDR 16 TDI (6667);
+RUNTEST 10 TCK;
+SDR 16 TDI (B333);
+RUNTEST 10 TCK;
+SDR 16 TDI (31F3);
+RUNTEST 10 TCK;
+SDR 16 TDI (6666);
+RUNTEST 10 TCK;
+SDR 16 TDI (7999);
+RUNTEST 10 TCK;
+SDR 16 TDI (B31F);
+RUNTEST 10 TCK;
+SDR 16 TDI (3333);
+RUNTEST 10 TCK;
+SDR 16 TDI (67CC);
+RUNTEST 10 TCK;
+SDR 16 TDI (CCC7);
+RUNTEST 10 TCK;
+SDR 16 TDI (B999);
+RUNTEST 10 TCK;
+SDR 16 TDI (99FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (6957);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (B777);
+RUNTEST 10 TCK;
+SDR 16 TDI (74AB);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (7BBB);
+RUNTEST 10 TCK;
+SDR 16 TDI (B74A);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBBB);
+RUNTEST 10 TCK;
+SDR 16 TDI (77DD);
+RUNTEST 10 TCK;
+SDR 16 TDI (DDD2);
+RUNTEST 10 TCK;
+SDR 16 TDI (B5DD);
+RUNTEST 10 TCK;
+SDR 16 TDI (DDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF5);
+RUNTEST 10 TCK;
+SDR 16 TDI (69FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF5);
+RUNTEST 10 TCK;
+SDR 16 TDI (69FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AAFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (75FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BAFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (77FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF5);
+RUNTEST 10 TCK;
+SDR 16 TDI (69FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF5);
+RUNTEST 10 TCK;
+SDR 16 TDI (6BFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (3333);
+RUNTEST 10 TCK;
+SDR 16 TDI (63E6);
+RUNTEST 10 TCK;
+SDR 16 TDI (6667);
+RUNTEST 10 TCK;
+SDR 16 TDI (B333);
+RUNTEST 10 TCK;
+SDR 16 TDI (31F3);
+RUNTEST 10 TCK;
+SDR 16 TDI (6666);
+RUNTEST 10 TCK;
+SDR 16 TDI (7999);
+RUNTEST 10 TCK;
+SDR 16 TDI (B31F);
+RUNTEST 10 TCK;
+SDR 16 TDI (3333);
+RUNTEST 10 TCK;
+SDR 16 TDI (67CC);
+RUNTEST 10 TCK;
+SDR 16 TDI (CCC7);
+RUNTEST 10 TCK;
+SDR 16 TDI (B999);
+RUNTEST 10 TCK;
+SDR 16 TDI (99FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (6957);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (B777);
+RUNTEST 10 TCK;
+SDR 16 TDI (74AB);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (7BBB);
+RUNTEST 10 TCK;
+SDR 16 TDI (B74A);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBBB);
+RUNTEST 10 TCK;
+SDR 16 TDI (77DD);
+RUNTEST 10 TCK;
+SDR 16 TDI (DDD2);
+RUNTEST 10 TCK;
+SDR 16 TDI (B5DD);
+RUNTEST 10 TCK;
+SDR 16 TDI (DDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFB);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF5);
+RUNTEST 10 TCK;
+SDR 16 TDI (65FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF5);
+RUNTEST 10 TCK;
+SDR 16 TDI (67FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AAEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (77FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BABF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEE);
+RUNTEST 10 TCK;
+SDR 16 TDI (77FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF5);
+RUNTEST 10 TCK;
+SDR 16 TDI (6BFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF5);
+RUNTEST 10 TCK;
+SDR 16 TDI (6BFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (3333);
+RUNTEST 10 TCK;
+SDR 16 TDI (63E6);
+RUNTEST 10 TCK;
+SDR 16 TDI (6667);
+RUNTEST 10 TCK;
+SDR 16 TDI (B333);
+RUNTEST 10 TCK;
+SDR 16 TDI (31F3);
+RUNTEST 10 TCK;
+SDR 16 TDI (6666);
+RUNTEST 10 TCK;
+SDR 16 TDI (7999);
+RUNTEST 10 TCK;
+SDR 16 TDI (B31F);
+RUNTEST 10 TCK;
+SDR 16 TDI (3333);
+RUNTEST 10 TCK;
+SDR 16 TDI (67CC);
+RUNTEST 10 TCK;
+SDR 16 TDI (CCC7);
+RUNTEST 10 TCK;
+SDR 16 TDI (B999);
+RUNTEST 10 TCK;
+SDR 16 TDI (99FE);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (6957);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (B777);
+RUNTEST 10 TCK;
+SDR 16 TDI (74AB);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (7BBB);
+RUNTEST 10 TCK;
+SDR 16 TDI (B74A);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBBB);
+RUNTEST 10 TCK;
+SDR 16 TDI (77DD);
+RUNTEST 10 TCK;
+SDR 16 TDI (DDD2);
+RUNTEST 10 TCK;
+SDR 16 TDI (B5DD);
+RUNTEST 10 TCK;
+SDR 16 TDI (DDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF7);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFBB);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (DFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7E7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (DFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF5);
+RUNTEST 10 TCK;
+SDR 16 TDI (6BFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF5);
+RUNTEST 10 TCK;
+SDR 16 TDI (6BFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AABF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEA);
+RUNTEST 10 TCK;
+SDR 16 TDI (77FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BAEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (77FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF4);
+RUNTEST 10 TCK;
+SDR 16 TDI (79FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF5);
+RUNTEST 10 TCK;
+SDR 16 TDI (7BFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (3333);
+RUNTEST 10 TCK;
+SDR 16 TDI (63E6);
+RUNTEST 10 TCK;
+SDR 16 TDI (6667);
+RUNTEST 10 TCK;
+SDR 16 TDI (B333);
+RUNTEST 10 TCK;
+SDR 16 TDI (31F3);
+RUNTEST 10 TCK;
+SDR 16 TDI (6666);
+RUNTEST 10 TCK;
+SDR 16 TDI (7999);
+RUNTEST 10 TCK;
+SDR 16 TDI (B31F);
+RUNTEST 10 TCK;
+SDR 16 TDI (3333);
+RUNTEST 10 TCK;
+SDR 16 TDI (67CC);
+RUNTEST 10 TCK;
+SDR 16 TDI (CCC7);
+RUNTEST 10 TCK;
+SDR 16 TDI (B999);
+RUNTEST 10 TCK;
+SDR 16 TDI (99EB);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (6957);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (B777);
+RUNTEST 10 TCK;
+SDR 16 TDI (74AB);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (7BBB);
+RUNTEST 10 TCK;
+SDR 16 TDI (B74A);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBBB);
+RUNTEST 10 TCK;
+SDR 16 TDI (77DD);
+RUNTEST 10 TCK;
+SDR 16 TDI (DDD2);
+RUNTEST 10 TCK;
+SDR 16 TDI (B5DD);
+RUNTEST 10 TCK;
+SDR 16 TDI (DDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FF7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FF7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (F7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFB);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FF7);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B77F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFEB);
+RUNTEST 10 TCK;
+SDR 16 TDI (5EF5);
+RUNTEST 10 TCK;
+SDR 16 TDI (69FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A77F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FD75);
+RUNTEST 10 TCK;
+SDR 16 TDI (69FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (ABBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (75FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (DFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BDDD);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBB7);
+RUNTEST 10 TCK;
+SDR 16 TDI (5B7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BAAF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FEFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (77FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFF9);
+RUNTEST 10 TCK;
+SDR 16 TDI (FDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (CF0F);
+RUNTEST 10 TCK;
+SDR 16 TDI (B180);
+RUNTEST 10 TCK;
+SDR 16 TDI (0075);
+RUNTEST 10 TCK;
+SDR 16 TDI (69FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (CF0F);
+RUNTEST 10 TCK;
+SDR 16 TDI (B986);
+RUNTEST 10 TCK;
+SDR 16 TDI (007F);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (CF0F);
+RUNTEST 10 TCK;
+SDR 16 TDI (B998);
+RUNTEST 10 TCK;
+SDR 16 TDI (00F5);
+RUNTEST 10 TCK;
+SDR 16 TDI (6BFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (CF0F);
+RUNTEST 10 TCK;
+SDR 16 TDI (B980);
+RUNTEST 10 TCK;
+SDR 16 TDI (007F);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (3333);
+RUNTEST 10 TCK;
+SDR 16 TDI (63E6);
+RUNTEST 10 TCK;
+SDR 16 TDI (6667);
+RUNTEST 10 TCK;
+SDR 16 TDI (B333);
+RUNTEST 10 TCK;
+SDR 16 TDI (31F3);
+RUNTEST 10 TCK;
+SDR 16 TDI (6666);
+RUNTEST 10 TCK;
+SDR 16 TDI (7999);
+RUNTEST 10 TCK;
+SDR 16 TDI (B31F);
+RUNTEST 10 TCK;
+SDR 16 TDI (3333);
+RUNTEST 10 TCK;
+SDR 16 TDI (67CC);
+RUNTEST 10 TCK;
+SDR 16 TDI (ECE7);
+RUNTEST 10 TCK;
+SDR 16 TDI (B999);
+RUNTEST 10 TCK;
+SDR 16 TDI (B97F);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (6957);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (B777);
+RUNTEST 10 TCK;
+SDR 16 TDI (74AB);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (7BBB);
+RUNTEST 10 TCK;
+SDR 16 TDI (B74A);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBBB);
+RUNTEST 10 TCK;
+SDR 16 TDI (77DD);
+RUNTEST 10 TCK;
+SDR 16 TDI (CDCA);
+RUNTEST 10 TCK;
+SDR 16 TDI (B1C9);
+RUNTEST 10 TCK;
+SDR 16 TDI (99FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FF7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (B7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (F37F);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (DFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FEE);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFB);
+RUNTEST 10 TCK;
+SDR 16 TDI (FEF7);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFB);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFF7);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EED);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDD);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FF7);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF4);
+RUNTEST 10 TCK;
+SDR 16 TDI (79FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFDD);
+RUNTEST 10 TCK;
+SDR 16 TDI (5574);
+RUNTEST 10 TCK;
+SDR 16 TDI (7BFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AAAF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFB);
+RUNTEST 10 TCK;
+SDR 16 TDI (77FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFF5);
+RUNTEST 10 TCK;
+SDR 16 TDI (DDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFBE);
+RUNTEST 10 TCK;
+SDR 16 TDI (EEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BAFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFD1);
+RUNTEST 10 TCK;
+SDR 16 TDI (99EE);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFCF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B002);
+RUNTEST 10 TCK;
+SDR 16 TDI (00F5);
+RUNTEST 10 TCK;
+SDR 16 TDI (67FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFCF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A81A);
+RUNTEST 10 TCK;
+SDR 16 TDI (00FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (77FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFCF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B800);
+RUNTEST 10 TCK;
+SDR 16 TDI (4075);
+RUNTEST 10 TCK;
+SDR 16 TDI (6FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFCF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B800);
+RUNTEST 10 TCK;
+SDR 16 TDI (407F);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (3333);
+RUNTEST 10 TCK;
+SDR 16 TDI (63E6);
+RUNTEST 10 TCK;
+SDR 16 TDI (6667);
+RUNTEST 10 TCK;
+SDR 16 TDI (B333);
+RUNTEST 10 TCK;
+SDR 16 TDI (31F3);
+RUNTEST 10 TCK;
+SDR 16 TDI (6666);
+RUNTEST 10 TCK;
+SDR 16 TDI (7999);
+RUNTEST 10 TCK;
+SDR 16 TDI (B31F);
+RUNTEST 10 TCK;
+SDR 16 TDI (3333);
+RUNTEST 10 TCK;
+SDR 16 TDI (67CC);
+RUNTEST 10 TCK;
+SDR 16 TDI (CCE7);
+RUNTEST 10 TCK;
+SDR 16 TDI (BB88);
+RUNTEST 10 TCK;
+SDR 16 TDI (89DE);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (6957);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (B777);
+RUNTEST 10 TCK;
+SDR 16 TDI (74AB);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (7BBB);
+RUNTEST 10 TCK;
+SDR 16 TDI (B74A);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBBB);
+RUNTEST 10 TCK;
+SDR 16 TDI (77DD);
+RUNTEST 10 TCK;
+SDR 16 TDI (DDCA);
+RUNTEST 10 TCK;
+SDR 16 TDI (B1DD);
+RUNTEST 10 TCK;
+SDR 16 TDI (DCFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B77F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (F7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFB);
+RUNTEST 10 TCK;
+SDR 16 TDI (BEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BCFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FD7);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7E69);
+RUNTEST 10 TCK;
+SDR 16 TDI (DBDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFB);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (DEDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFAF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FD5);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7F9A);
+RUNTEST 10 TCK;
+SDR 16 TDI (FBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (DFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BEFB);
+RUNTEST 10 TCK;
+SDR 16 TDI (FDF4);
+RUNTEST 10 TCK;
+SDR 16 TDI (75FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (F7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFD7);
+RUNTEST 10 TCK;
+SDR 16 TDI (DF75);
+RUNTEST 10 TCK;
+SDR 16 TDI (65FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AAFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (DFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (CFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BD7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFB);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (F76E);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBB7);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EEBE);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BAAF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FCE);
+RUNTEST 10 TCK;
+SDR 16 TDI (916F);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFA1);
+RUNTEST 10 TCK;
+SDR 16 TDI (EE7E);
+RUNTEST 10 TCK;
+SDR 16 TDI (7BFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BC5F);
+RUNTEST 10 TCK;
+SDR 16 TDI (F5FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FF3);
+RUNTEST 10 TCK;
+SDR 16 TDI (202F);
+RUNTEST 10 TCK;
+SDR 16 TDI (B787);
+RUNTEST 10 TCK;
+SDR 16 TDI (FDF5);
+RUNTEST 10 TCK;
+SDR 16 TDI (6DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FF3);
+RUNTEST 10 TCK;
+SDR 16 TDI (200D);
+RUNTEST 10 TCK;
+SDR 16 TDI (B9A7);
+RUNTEST 10 TCK;
+SDR 16 TDI (987E);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7F33);
+RUNTEST 10 TCK;
+SDR 16 TDI (D41F);
+RUNTEST 10 TCK;
+SDR 16 TDI (BD81);
+RUNTEST 10 TCK;
+SDR 16 TDI (DFF5);
+RUNTEST 10 TCK;
+SDR 16 TDI (77FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7F33);
+RUNTEST 10 TCK;
+SDR 16 TDI (D00F);
+RUNTEST 10 TCK;
+SDR 16 TDI (B981);
+RUNTEST 10 TCK;
+SDR 16 TDI (9C7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (3333);
+RUNTEST 10 TCK;
+SDR 16 TDI (63E6);
+RUNTEST 10 TCK;
+SDR 16 TDI (6667);
+RUNTEST 10 TCK;
+SDR 16 TDI (B333);
+RUNTEST 10 TCK;
+SDR 16 TDI (31F3);
+RUNTEST 10 TCK;
+SDR 16 TDI (6666);
+RUNTEST 10 TCK;
+SDR 16 TDI (7999);
+RUNTEST 10 TCK;
+SDR 16 TDI (B31F);
+RUNTEST 10 TCK;
+SDR 16 TDI (3333);
+RUNTEST 10 TCK;
+SDR 16 TDI (67EE);
+RUNTEST 10 TCK;
+SDR 16 TDI (C0C7);
+RUNTEST 10 TCK;
+SDR 16 TDI (B993);
+RUNTEST 10 TCK;
+SDR 16 TDI (9DFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (6957);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (B777);
+RUNTEST 10 TCK;
+SDR 16 TDI (74AB);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (7BBB);
+RUNTEST 10 TCK;
+SDR 16 TDI (B74A);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBBB);
+RUNTEST 10 TCK;
+SDR 16 TDI (77CC);
+RUNTEST 10 TCK;
+SDR 16 TDI (CCDA);
+RUNTEST 10 TCK;
+SDR 16 TDI (B199);
+RUNTEST 10 TCK;
+SDR 16 TDI (99FB);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (F7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B7B7);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FEBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (DFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFB);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7BFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FD7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (BDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BF7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (DFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (DFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (DFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (FD5F);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BF7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF5);
+RUNTEST 10 TCK;
+SDR 16 TDI (6DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (75FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (FEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBBB);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFF5);
+RUNTEST 10 TCK;
+SDR 16 TDI (69FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AAAF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFB);
+RUNTEST 10 TCK;
+SDR 16 TDI (FBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (F7FE);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FBFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (FDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBDD);
+RUNTEST 10 TCK;
+SDR 16 TDI (DFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (DEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFBB);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BAAF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FBFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (77FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FF9);
+RUNTEST 10 TCK;
+SDR 16 TDI (F1FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BD45);
+RUNTEST 10 TCK;
+SDR 16 TDI (C7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FF4);
+RUNTEST 10 TCK;
+SDR 16 TDI (0ECB);
+RUNTEST 10 TCK;
+SDR 16 TDI (B659);
+RUNTEST 10 TCK;
+SDR 16 TDI (B9F5);
+RUNTEST 10 TCK;
+SDR 16 TDI (69FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FF4);
+RUNTEST 10 TCK;
+SDR 16 TDI (0FCF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A819);
+RUNTEST 10 TCK;
+SDR 16 TDI (A1FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FF0);
+RUNTEST 10 TCK;
+SDR 16 TDI (0FCF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (79F5);
+RUNTEST 10 TCK;
+SDR 16 TDI (6BFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FF0);
+RUNTEST 10 TCK;
+SDR 16 TDI (0FCF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B87E);
+RUNTEST 10 TCK;
+SDR 16 TDI (01FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (3333);
+RUNTEST 10 TCK;
+SDR 16 TDI (63E6);
+RUNTEST 10 TCK;
+SDR 16 TDI (6667);
+RUNTEST 10 TCK;
+SDR 16 TDI (B333);
+RUNTEST 10 TCK;
+SDR 16 TDI (31F3);
+RUNTEST 10 TCK;
+SDR 16 TDI (6666);
+RUNTEST 10 TCK;
+SDR 16 TDI (7999);
+RUNTEST 10 TCK;
+SDR 16 TDI (B31F);
+RUNTEST 10 TCK;
+SDR 16 TDI (3333);
+RUNTEST 10 TCK;
+SDR 16 TDI (67CC);
+RUNTEST 10 TCK;
+SDR 16 TDI (C8D7);
+RUNTEST 10 TCK;
+SDR 16 TDI (B98B);
+RUNTEST 10 TCK;
+SDR 16 TDI (B9FD);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (6957);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (B777);
+RUNTEST 10 TCK;
+SDR 16 TDI (74AB);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (7BBB);
+RUNTEST 10 TCK;
+SDR 16 TDI (B74A);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBBB);
+RUNTEST 10 TCK;
+SDR 16 TDI (77DC);
+RUNTEST 10 TCK;
+SDR 16 TDI (CDCA);
+RUNTEST 10 TCK;
+SDR 16 TDI (B4D9);
+RUNTEST 10 TCK;
+SDR 16 TDI (99FB);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFB);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (DFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7BFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FD7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (BDFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (CFBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B77F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FF7);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BF74);
+RUNTEST 10 TCK;
+SDR 16 TDI (F77F);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A77F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (DFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BDDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5DBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (ABFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7F7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (B7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (F3BF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FEB);
+RUNTEST 10 TCK;
+SDR 16 TDI (EEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BEBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (DFBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FBD);
+RUNTEST 10 TCK;
+SDR 16 TDI (FBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FDDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BAFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BABF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FE7);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BF75);
+RUNTEST 10 TCK;
+SDR 16 TDI (F77F);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7F18);
+RUNTEST 10 TCK;
+SDR 16 TDI (83FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BD8B);
+RUNTEST 10 TCK;
+SDR 16 TDI (89DF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFA);
+RUNTEST 10 TCK;
+SDR 16 TDI (FF0B);
+RUNTEST 10 TCK;
+SDR 16 TDI (B6AD);
+RUNTEST 10 TCK;
+SDR 16 TDI (A9FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFA);
+RUNTEST 10 TCK;
+SDR 16 TDI (FF0F);
+RUNTEST 10 TCK;
+SDR 16 TDI (AE80);
+RUNTEST 10 TCK;
+SDR 16 TDI (803F);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7F89);
+RUNTEST 10 TCK;
+SDR 16 TDI (080F);
+RUNTEST 10 TCK;
+SDR 16 TDI (B800);
+RUNTEST 10 TCK;
+SDR 16 TDI (1F9F);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FC3);
+RUNTEST 10 TCK;
+SDR 16 TDI (2C0F);
+RUNTEST 10 TCK;
+SDR 16 TDI (BEA0);
+RUNTEST 10 TCK;
+SDR 16 TDI (1FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (3333);
+RUNTEST 10 TCK;
+SDR 16 TDI (63E6);
+RUNTEST 10 TCK;
+SDR 16 TDI (6667);
+RUNTEST 10 TCK;
+SDR 16 TDI (B333);
+RUNTEST 10 TCK;
+SDR 16 TDI (31F3);
+RUNTEST 10 TCK;
+SDR 16 TDI (6666);
+RUNTEST 10 TCK;
+SDR 16 TDI (7999);
+RUNTEST 10 TCK;
+SDR 16 TDI (B31F);
+RUNTEST 10 TCK;
+SDR 16 TDI (3333);
+RUNTEST 10 TCK;
+SDR 16 TDI (67CE);
+RUNTEST 10 TCK;
+SDR 16 TDI (C8C7);
+RUNTEST 10 TCK;
+SDR 16 TDI (BB9B);
+RUNTEST 10 TCK;
+SDR 16 TDI (99FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (6957);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (B777);
+RUNTEST 10 TCK;
+SDR 16 TDI (74AB);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (7BBB);
+RUNTEST 10 TCK;
+SDR 16 TDI (B74A);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBBB);
+RUNTEST 10 TCK;
+SDR 16 TDI (779C);
+RUNTEST 10 TCK;
+SDR 16 TDI (DDCA);
+RUNTEST 10 TCK;
+SDR 16 TDI (B1D9);
+RUNTEST 10 TCK;
+SDR 16 TDI (9CBB);
+RUNTEST 10 TCK;
+SDR 16 TDI (777F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFB);
+RUNTEST 10 TCK;
+SDR 16 TDI (B777);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBBB);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFB);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EF7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (B7BF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF7);
+RUNTEST 10 TCK;
+SDR 16 TDI (6EEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDD);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (F777);
+RUNTEST 10 TCK;
+SDR 16 TDI (6FDD);
+RUNTEST 10 TCK;
+SDR 16 TDI (DFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BF7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EF6);
+RUNTEST 10 TCK;
+SDR 16 TDI (FF5F);
+RUNTEST 10 TCK;
+SDR 16 TDI (BDBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FF7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FF7);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFAF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BB7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (DFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (DFFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FF7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (DDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (FDDE);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (F7F7);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FF7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (A55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (6FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (DFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FAA7);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FBA);
+RUNTEST 10 TCK;
+SDR 16 TDI (AAEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BABA);
+RUNTEST 10 TCK;
+SDR 16 TDI (ABFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (F7EF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (FF7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF7);
+RUNTEST 10 TCK;
+SDR 16 TDI (A55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDB);
+RUNTEST 10 TCK;
+SDR 16 TDI (FBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (76FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FA97);
+RUNTEST 10 TCK;
+SDR 16 TDI (AAEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FF77);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FAF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFAF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BAFA);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (F77F);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (FEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFCF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FBD);
+RUNTEST 10 TCK;
+SDR 16 TDI (B3BF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BEF6);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (77FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EF7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BAFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FE7);
+RUNTEST 10 TCK;
+SDR 16 TDI (CCEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B989);
+RUNTEST 10 TCK;
+SDR 16 TDI (DDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDE);
+RUNTEST 10 TCK;
+SDR 16 TDI (F5BF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7F5A);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BF7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (77FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EF7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FEDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FF3);
+RUNTEST 10 TCK;
+SDR 16 TDI (CE4B);
+RUNTEST 10 TCK;
+SDR 16 TDI (B2B8);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (8180);
+RUNTEST 10 TCK;
+SDR 16 TDI (6FB0);
+RUNTEST 10 TCK;
+SDR 16 TDI (1073);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FA57);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FF3);
+RUNTEST 10 TCK;
+SDR 16 TDI (CE4F);
+RUNTEST 10 TCK;
+SDR 16 TDI (AAF8);
+RUNTEST 10 TCK;
+SDR 16 TDI (07FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (F7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (8180);
+RUNTEST 10 TCK;
+SDR 16 TDI (6FF0);
+RUNTEST 10 TCK;
+SDR 16 TDI (1133);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFE7);
+RUNTEST 10 TCK;
+SDR 16 TDI (B55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FF3);
+RUNTEST 10 TCK;
+SDR 16 TDI (500F);
+RUNTEST 10 TCK;
+SDR 16 TDI (BB7A);
+RUNTEST 10 TCK;
+SDR 16 TDI (307F);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (8180);
+RUNTEST 10 TCK;
+SDR 16 TDI (67F0);
+RUNTEST 10 TCK;
+SDR 16 TDI (10B3);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FABF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FEB);
+RUNTEST 10 TCK;
+SDR 16 TDI (8D0F);
+RUNTEST 10 TCK;
+SDR 16 TDI (9A78);
+RUNTEST 10 TCK;
+SDR 16 TDI (487F);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (8180);
+RUNTEST 10 TCK;
+SDR 16 TDI (6FF0);
+RUNTEST 10 TCK;
+SDR 16 TDI (1133);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (3333);
+RUNTEST 10 TCK;
+SDR 16 TDI (63E6);
+RUNTEST 10 TCK;
+SDR 16 TDI (6667);
+RUNTEST 10 TCK;
+SDR 16 TDI (B333);
+RUNTEST 10 TCK;
+SDR 16 TDI (31F3);
+RUNTEST 10 TCK;
+SDR 16 TDI (6666);
+RUNTEST 10 TCK;
+SDR 16 TDI (7999);
+RUNTEST 10 TCK;
+SDR 16 TDI (B31F);
+RUNTEST 10 TCK;
+SDR 16 TDI (3333);
+RUNTEST 10 TCK;
+SDR 16 TDI (67CC);
+RUNTEST 10 TCK;
+SDR 16 TDI (EEC7);
+RUNTEST 10 TCK;
+SDR 16 TDI (9B99);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBE6);
+RUNTEST 10 TCK;
+SDR 16 TDI (6CCC);
+RUNTEST 10 TCK;
+SDR 16 TDI (7CCC);
+RUNTEST 10 TCK;
+SDR 16 TDI (999E);
+RUNTEST 10 TCK;
+SDR 16 TDI (7667);
+RUNTEST 10 TCK;
+SDR 16 TDI (6FCD);
+RUNTEST 10 TCK;
+SDR 16 TDI (E88F);
+RUNTEST 10 TCK;
+SDR 16 TDI (A666);
+RUNTEST 10 TCK;
+SDR 16 TDI (63E6);
+RUNTEST 10 TCK;
+SDR 16 TDI (6CCC);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (6957);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (B777);
+RUNTEST 10 TCK;
+SDR 16 TDI (74AB);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (7BBB);
+RUNTEST 10 TCK;
+SDR 16 TDI (B74A);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBBB);
+RUNTEST 10 TCK;
+SDR 16 TDI (77D9);
+RUNTEST 10 TCK;
+SDR 16 TDI (CCD2);
+RUNTEST 10 TCK;
+SDR 16 TDI (B1CD);
+RUNTEST 10 TCK;
+SDR 16 TDI (99EE);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DDD);
+RUNTEST 10 TCK;
+SDR 16 TDI (2AEE);
+RUNTEST 10 TCK;
+SDR 16 TDI (9DDE);
+RUNTEST 10 TCK;
+SDR 16 TDI (E666);
+RUNTEST 10 TCK;
+SDR 16 TDI (6A8C);
+RUNTEST 10 TCK;
+SDR 16 TDI (CCCF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AEEE);
+RUNTEST 10 TCK;
+SDR 16 TDI (E957);
+RUNTEST 10 TCK;
+SDR 16 TDI (6EEE);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B7FB);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFBB);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9BBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FF7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FF7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF7);
+RUNTEST 10 TCK;
+SDR 16 TDI (8FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9BFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF7);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B6FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FF7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FF7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FD7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FAA7);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF7);
+RUNTEST 10 TCK;
+SDR 16 TDI (A55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FA97);
+RUNTEST 10 TCK;
+SDR 16 TDI (AAFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FF57);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FF7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FF7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BAFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FF5F);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (EFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (CFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (F9FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5F83);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FAA7);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (CFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (F7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (F9FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7F43);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF7);
+RUNTEST 10 TCK;
+SDR 16 TDI (B55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (CFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (F87F);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FC3);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FAAF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (CFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (F87F);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FC3);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (3333);
+RUNTEST 10 TCK;
+SDR 16 TDI (63E6);
+RUNTEST 10 TCK;
+SDR 16 TDI (6667);
+RUNTEST 10 TCK;
+SDR 16 TDI (B333);
+RUNTEST 10 TCK;
+SDR 16 TDI (31F3);
+RUNTEST 10 TCK;
+SDR 16 TDI (4666);
+RUNTEST 10 TCK;
+SDR 16 TDI (7999);
+RUNTEST 10 TCK;
+SDR 16 TDI (B31F);
+RUNTEST 10 TCK;
+SDR 16 TDI (3333);
+RUNTEST 10 TCK;
+SDR 16 TDI (67CC);
+RUNTEST 10 TCK;
+SDR 16 TDI (ECC7);
+RUNTEST 10 TCK;
+SDR 16 TDI (B999);
+RUNTEST 10 TCK;
+SDR 16 TDI (99E6);
+RUNTEST 10 TCK;
+SDR 16 TDI (6CCC);
+RUNTEST 10 TCK;
+SDR 16 TDI (7CCC);
+RUNTEST 10 TCK;
+SDR 16 TDI (B99E);
+RUNTEST 10 TCK;
+SDR 16 TDI (6366);
+RUNTEST 10 TCK;
+SDR 16 TDI (47DC);
+RUNTEST 10 TCK;
+SDR 16 TDI (CCCF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A666);
+RUNTEST 10 TCK;
+SDR 16 TDI (63E6);
+RUNTEST 10 TCK;
+SDR 16 TDI (6CCC);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (6957);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (B777);
+RUNTEST 10 TCK;
+SDR 16 TDI (74AB);
+RUNTEST 10 TCK;
+SDR 16 TDI (5777);
+RUNTEST 10 TCK;
+SDR 16 TDI (7BBB);
+RUNTEST 10 TCK;
+SDR 16 TDI (B74A);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBBB);
+RUNTEST 10 TCK;
+SDR 16 TDI (77DD);
+RUNTEST 10 TCK;
+SDR 16 TDI (CDD2);
+RUNTEST 10 TCK;
+SDR 16 TDI (B5DD);
+RUNTEST 10 TCK;
+SDR 16 TDI (DDEE);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DDD);
+RUNTEST 10 TCK;
+SDR 16 TDI (2AEE);
+RUNTEST 10 TCK;
+SDR 16 TDI (BDDE);
+RUNTEST 10 TCK;
+SDR 16 TDI (EC6E);
+RUNTEST 10 TCK;
+SDR 16 TDI (5A8E);
+RUNTEST 10 TCK;
+SDR 16 TDI (EEEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AEEE);
+RUNTEST 10 TCK;
+SDR 16 TDI (E957);
+RUNTEST 10 TCK;
+SDR 16 TDI (6EEE);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FBB);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF7);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF7);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FAA7);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF7);
+RUNTEST 10 TCK;
+SDR 16 TDI (A55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FAA7);
+RUNTEST 10 TCK;
+SDR 16 TDI (AAAF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FD57);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BAEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FF5F);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FAA7);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (F7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5F7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF7);
+RUNTEST 10 TCK;
+SDR 16 TDI (B55F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FAAF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (3333);
+RUNTEST 10 TCK;
+SDR 16 TDI (63E6);
+RUNTEST 10 TCK;
+SDR 16 TDI (6667);
+RUNTEST 10 TCK;
+SDR 16 TDI (B333);
+RUNTEST 10 TCK;
+SDR 16 TDI (31F3);
+RUNTEST 10 TCK;
+SDR 16 TDI (6666);
+RUNTEST 10 TCK;
+SDR 16 TDI (7999);
+RUNTEST 10 TCK;
+SDR 16 TDI (B31F);
+RUNTEST 10 TCK;
+SDR 16 TDI (3333);
+RUNTEST 10 TCK;
+SDR 16 TDI (67CC);
+RUNTEST 10 TCK;
+SDR 16 TDI (CCC7);
+RUNTEST 10 TCK;
+SDR 16 TDI (B999);
+RUNTEST 10 TCK;
+SDR 16 TDI (99E6);
+RUNTEST 10 TCK;
+SDR 16 TDI (6CCC);
+RUNTEST 10 TCK;
+SDR 16 TDI (7CCC);
+RUNTEST 10 TCK;
+SDR 16 TDI (B99E);
+RUNTEST 10 TCK;
+SDR 16 TDI (6666);
+RUNTEST 10 TCK;
+SDR 16 TDI (47CC);
+RUNTEST 10 TCK;
+SDR 16 TDI (CCCF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A666);
+RUNTEST 10 TCK;
+SDR 16 TDI (63E6);
+RUNTEST 10 TCK;
+SDR 16 TDI (6CCC);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (6957);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (B777);
+RUNTEST 10 TCK;
+SDR 16 TDI (74AB);
+RUNTEST 10 TCK;
+SDR 16 TDI (7777);
+RUNTEST 10 TCK;
+SDR 16 TDI (7BBB);
+RUNTEST 10 TCK;
+SDR 16 TDI (B74A);
+RUNTEST 10 TCK;
+SDR 16 TDI (BBBB);
+RUNTEST 10 TCK;
+SDR 16 TDI (77DD);
+RUNTEST 10 TCK;
+SDR 16 TDI (DDD2);
+RUNTEST 10 TCK;
+SDR 16 TDI (B5DD);
+RUNTEST 10 TCK;
+SDR 16 TDI (DDEE);
+RUNTEST 10 TCK;
+SDR 16 TDI (7DDD);
+RUNTEST 10 TCK;
+SDR 16 TDI (2AEE);
+RUNTEST 10 TCK;
+SDR 16 TDI (BDDE);
+RUNTEST 10 TCK;
+SDR 16 TDI (EEEE);
+RUNTEST 10 TCK;
+SDR 16 TDI (52AE);
+RUNTEST 10 TCK;
+SDR 16 TDI (EEEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AEEE);
+RUNTEST 10 TCK;
+SDR 16 TDI (E957);
+RUNTEST 10 TCK;
+SDR 16 TDI (6EEE);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (B7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (DFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF7);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF7);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF7);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (6FEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFEB);
+RUNTEST 10 TCK;
+SDR 16 TDI (FBFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (76EF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFF7);
+RUNTEST 10 TCK;
+SDR 16 TDI (FDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFB);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFB);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BF7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFB);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF7);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (DFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FBF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7EFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (77FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7BFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFB);
+RUNTEST 10 TCK;
+SDR 16 TDI (FDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (D7FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFEF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FDFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFB);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FEEE);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFEE);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFD);
+RUNTEST 10 TCK;
+SDR 16 TDI (7B3F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFF3);
+RUNTEST 10 TCK;
+SDR 16 TDI (AEFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (DDDF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (77BB);
+RUNTEST 10 TCK;
+SDR 16 TDI (BECE);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7B9B);
+RUNTEST 10 TCK;
+SDR 16 TDI (FF77);
+RUNTEST 10 TCK;
+SDR 16 TDI (AFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FCDC);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FF6);
+RUNTEST 10 TCK;
+SDR 16 TDI (77FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFDC);
+RUNTEST 10 TCK;
+SDR 16 TDI (DFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (737F);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (5FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFC);
+RUNTEST 10 TCK;
+SDR 16 TDI (F9E7);
+RUNTEST 10 TCK;
+SDR 16 TDI (79F3);
+RUNTEST 10 TCK;
+SDR 16 TDI (CFFE);
+RUNTEST 10 TCK;
+SDR 16 TDI (AF9E);
+RUNTEST 10 TCK;
+SDR 16 TDI (7CF9);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFCF);
+RUNTEST 10 TCK;
+SDR 16 TDI (A7CF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9E7F);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (F9F3);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFF3);
+RUNTEST 10 TCK;
+SDR 16 TDI (FF9F);
+RUNTEST 10 TCK;
+SDR 16 TDI (7F9F);
+RUNTEST 10 TCK;
+SDR 16 TDI (3E79);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (F3FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (73FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (9FFC);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFC);
+RUNTEST 10 TCK;
+SDR 16 TDI (F9F3);
+RUNTEST 10 TCK;
+SDR 16 TDI (79FF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (7FFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SIR 10 TDI (203);
+RUNTEST 4 TCK;
+SDR 14 TDI (0001);
+SIR 10 TDI (2F4);
+RUNTEST 4 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+!
+!
+!
+!VERIFY
+!
+!
+!
+SIR 10 TDI (203);
+RUNTEST 4 TCK;
+SDR 14 TDI (0000);
+SIR 10 TDI (205);
+RUNTEST 4 TCK;
+SDR 16 TDI (FFFF) TDO (7FFF) MASK (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (F9FF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FF9E);
+SDR 16 TDI (FFFF) TDO (AF9E);
+SDR 16 TDI (FFFF) TDO (7CF9);
+SDR 16 TDI (FFFF) TDO (7CF9);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFC);
+SDR 16 TDI (FFFF) TDO (7F3E);
+SDR 16 TDI (FFFF) TDO (79F3);
+SDR 16 TDI (FFFF) TDO (BCFF);
+SDR 16 TDI (FFFF) TDO (E7FF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFF7);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FEEE);
+SDR 16 TDI (FFFF) TDO (7FFB);
+SDR 16 TDI (FFFF) TDO (BBBF);
+SDR 16 TDI (FFFF) TDO (BFEE);
+SDR 16 TDI (FFFF) TDO (EFFD);
+SDR 16 TDI (FFFF) TDO (7BBB);
+SDR 16 TDI (FFFF) TDO (FFF2);
+SDR 16 TDI (FFFF) TDO (BEFF);
+SDR 16 TDI (FFFF) TDO (DDED);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (BBBF);
+SDR 16 TDI (FFFF) TDO (BEEF);
+SDR 16 TDI (FFFF) TDO (EFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FEFE);
+SDR 16 TDI (FFFF) TDO (7FFB);
+SDR 16 TDI (FFFF) TDO (BBFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B9FF);
+SDR 16 TDI (FFFF) TDO (EE7F);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFA);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFE7);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFE);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFE);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FDFD);
+SDR 16 TDI (FFFF) TDO (7FFD);
+SDR 16 TDI (FFFF) TDO (DDFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B6FF);
+SDR 16 TDI (FFFF) TDO (DDBF);
+SDR 16 TDI (FFFF) TDO (7EFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFD);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFBF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (DFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFB);
+SDR 16 TDI (FFFF) TDO (BDFF);
+SDR 16 TDI (FFFF) TDO (FBFF);
+SDR 16 TDI (FFFF) TDO (7EFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (DFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFB);
+SDR 16 TDI (FFFF) TDO (BEFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFBF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FBFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (DEBF);
+SDR 16 TDI (FFFF) TDO (BFFD);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFEF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B7FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BBBF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFF7);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (DD7F);
+SDR 16 TDI (FFFF) TDO (BFFD);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BBFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B7FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (A55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFF4);
+SDR 16 TDI (FFFF) TDO (7BFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BD5F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFF4);
+SDR 16 TDI (FFFF) TDO (79FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B2FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFE);
+SDR 16 TDI (FFFF) TDO (75FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B2FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFE);
+SDR 16 TDI (FFFF) TDO (77FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AD5F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FDF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFE);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B7FF);
+SDR 16 TDI (FFFF) TDO (FFF4);
+SDR 16 TDI (FFFF) TDO (7BFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FBF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFD);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFF4);
+SDR 16 TDI (FFFF) TDO (7BFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BBBF);
+SDR 16 TDI (FFFF) TDO (3333);
+SDR 16 TDI (FFFF) TDO (63E6);
+SDR 16 TDI (FFFF) TDO (6667);
+SDR 16 TDI (FFFF) TDO (B333);
+SDR 16 TDI (FFFF) TDO (31F3);
+SDR 16 TDI (FFFF) TDO (6666);
+SDR 16 TDI (FFFF) TDO (7999);
+SDR 16 TDI (FFFF) TDO (B31F);
+SDR 16 TDI (FFFF) TDO (3333);
+SDR 16 TDI (FFFF) TDO (67CC);
+SDR 16 TDI (FFFF) TDO (CCC7);
+SDR 16 TDI (FFFF) TDO (B999);
+SDR 16 TDI (FFFF) TDO (99FF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (6957);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (B777);
+SDR 16 TDI (FFFF) TDO (74AB);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (7BBB);
+SDR 16 TDI (FFFF) TDO (B74A);
+SDR 16 TDI (FFFF) TDO (BBBB);
+SDR 16 TDI (FFFF) TDO (77DD);
+SDR 16 TDI (FFFF) TDO (DDD2);
+SDR 16 TDI (FFFF) TDO (B5DD);
+SDR 16 TDI (FFFF) TDO (DDFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FDF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFE);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B7FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFBF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EFD);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFD);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (A55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFF5);
+SDR 16 TDI (FFFF) TDO (69FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (A55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFF5);
+SDR 16 TDI (FFFF) TDO (69FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AAFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFE);
+SDR 16 TDI (FFFF) TDO (75FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BAFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFE);
+SDR 16 TDI (FFFF) TDO (77FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (A55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FDF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFE);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B7FF);
+SDR 16 TDI (FFFF) TDO (FFF5);
+SDR 16 TDI (FFFF) TDO (69FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FBF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFD);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFF5);
+SDR 16 TDI (FFFF) TDO (6BFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (3333);
+SDR 16 TDI (FFFF) TDO (63E6);
+SDR 16 TDI (FFFF) TDO (6667);
+SDR 16 TDI (FFFF) TDO (B333);
+SDR 16 TDI (FFFF) TDO (31F3);
+SDR 16 TDI (FFFF) TDO (6666);
+SDR 16 TDI (FFFF) TDO (7999);
+SDR 16 TDI (FFFF) TDO (B31F);
+SDR 16 TDI (FFFF) TDO (3333);
+SDR 16 TDI (FFFF) TDO (67CC);
+SDR 16 TDI (FFFF) TDO (CCC7);
+SDR 16 TDI (FFFF) TDO (B999);
+SDR 16 TDI (FFFF) TDO (99FF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (6957);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (B777);
+SDR 16 TDI (FFFF) TDO (74AB);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (7BBB);
+SDR 16 TDI (FFFF) TDO (B74A);
+SDR 16 TDI (FFFF) TDO (BBBB);
+SDR 16 TDI (FFFF) TDO (77DD);
+SDR 16 TDI (FFFF) TDO (DDD2);
+SDR 16 TDI (FFFF) TDO (B5DD);
+SDR 16 TDI (FFFF) TDO (DDFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FDF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFE);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B7FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFB);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFD);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (A55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFF5);
+SDR 16 TDI (FFFF) TDO (65FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (A55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFF5);
+SDR 16 TDI (FFFF) TDO (67FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AAEF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFE);
+SDR 16 TDI (FFFF) TDO (77FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BABF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFEE);
+SDR 16 TDI (FFFF) TDO (77FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (A55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FDF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFE);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B7FF);
+SDR 16 TDI (FFFF) TDO (FFF5);
+SDR 16 TDI (FFFF) TDO (6BFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FBF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFD);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFF5);
+SDR 16 TDI (FFFF) TDO (6BFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (3333);
+SDR 16 TDI (FFFF) TDO (63E6);
+SDR 16 TDI (FFFF) TDO (6667);
+SDR 16 TDI (FFFF) TDO (B333);
+SDR 16 TDI (FFFF) TDO (31F3);
+SDR 16 TDI (FFFF) TDO (6666);
+SDR 16 TDI (FFFF) TDO (7999);
+SDR 16 TDI (FFFF) TDO (B31F);
+SDR 16 TDI (FFFF) TDO (3333);
+SDR 16 TDI (FFFF) TDO (67CC);
+SDR 16 TDI (FFFF) TDO (CCC7);
+SDR 16 TDI (FFFF) TDO (B999);
+SDR 16 TDI (FFFF) TDO (99FE);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (6957);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (B777);
+SDR 16 TDI (FFFF) TDO (74AB);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (7BBB);
+SDR 16 TDI (FFFF) TDO (B74A);
+SDR 16 TDI (FFFF) TDO (BBBB);
+SDR 16 TDI (FFFF) TDO (77DD);
+SDR 16 TDI (FFFF) TDO (DDD2);
+SDR 16 TDI (FFFF) TDO (B5DD);
+SDR 16 TDI (FFFF) TDO (DDFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FDF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFE);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B7FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFF7);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FBF);
+SDR 16 TDI (FFFF) TDO (FEFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFBB);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EFF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (DFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7E7F);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FEFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (DFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (A55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFF5);
+SDR 16 TDI (FFFF) TDO (6BFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (A55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFF5);
+SDR 16 TDI (FFFF) TDO (6BFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AABF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFEA);
+SDR 16 TDI (FFFF) TDO (77FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BAEF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (77FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (A55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FDF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFE);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B7FF);
+SDR 16 TDI (FFFF) TDO (FFF4);
+SDR 16 TDI (FFFF) TDO (79FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FBF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFD);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFE);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFF5);
+SDR 16 TDI (FFFF) TDO (7BFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFBF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (3333);
+SDR 16 TDI (FFFF) TDO (63E6);
+SDR 16 TDI (FFFF) TDO (6667);
+SDR 16 TDI (FFFF) TDO (B333);
+SDR 16 TDI (FFFF) TDO (31F3);
+SDR 16 TDI (FFFF) TDO (6666);
+SDR 16 TDI (FFFF) TDO (7999);
+SDR 16 TDI (FFFF) TDO (B31F);
+SDR 16 TDI (FFFF) TDO (3333);
+SDR 16 TDI (FFFF) TDO (67CC);
+SDR 16 TDI (FFFF) TDO (CCC7);
+SDR 16 TDI (FFFF) TDO (B999);
+SDR 16 TDI (FFFF) TDO (99EB);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (6957);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (B777);
+SDR 16 TDI (FFFF) TDO (74AB);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (7BBB);
+SDR 16 TDI (FFFF) TDO (B74A);
+SDR 16 TDI (FFFF) TDO (BBBB);
+SDR 16 TDI (FFFF) TDO (77DD);
+SDR 16 TDI (FFFF) TDO (DDD2);
+SDR 16 TDI (FFFF) TDO (B5DD);
+SDR 16 TDI (FFFF) TDO (DDFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FDF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFE);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B7FF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FF7F);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (BFBF);
+SDR 16 TDI (FFFF) TDO (BFEF);
+SDR 16 TDI (FFFF) TDO (EFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FF7F);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (F7FF);
+SDR 16 TDI (FFFF) TDO (BBFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (EFFF);
+SDR 16 TDI (FFFF) TDO (B7FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EFB);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EFF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FF7);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B77F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (BFEB);
+SDR 16 TDI (FFFF) TDO (5EF5);
+SDR 16 TDI (FFFF) TDO (69FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFE);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (A77F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFDF);
+SDR 16 TDI (FFFF) TDO (FD75);
+SDR 16 TDI (FFFF) TDO (69FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (ABBF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFE);
+SDR 16 TDI (FFFF) TDO (75FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FBFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (DFFF);
+SDR 16 TDI (FFFF) TDO (BDDD);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BBB7);
+SDR 16 TDI (FFFF) TDO (5B7F);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BAAF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFD);
+SDR 16 TDI (FFFF) TDO (BFDF);
+SDR 16 TDI (FFFF) TDO (FEFE);
+SDR 16 TDI (FFFF) TDO (77FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (EFDF);
+SDR 16 TDI (FFFF) TDO (BFF9);
+SDR 16 TDI (FFFF) TDO (FDFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (A55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FDF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFE);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (CF0F);
+SDR 16 TDI (FFFF) TDO (B180);
+SDR 16 TDI (FFFF) TDO (0075);
+SDR 16 TDI (FFFF) TDO (69FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FBF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFD);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (CF0F);
+SDR 16 TDI (FFFF) TDO (B986);
+SDR 16 TDI (FFFF) TDO (007F);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (CF0F);
+SDR 16 TDI (FFFF) TDO (B998);
+SDR 16 TDI (FFFF) TDO (00F5);
+SDR 16 TDI (FFFF) TDO (6BFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (CF0F);
+SDR 16 TDI (FFFF) TDO (B980);
+SDR 16 TDI (FFFF) TDO (007F);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (3333);
+SDR 16 TDI (FFFF) TDO (63E6);
+SDR 16 TDI (FFFF) TDO (6667);
+SDR 16 TDI (FFFF) TDO (B333);
+SDR 16 TDI (FFFF) TDO (31F3);
+SDR 16 TDI (FFFF) TDO (6666);
+SDR 16 TDI (FFFF) TDO (7999);
+SDR 16 TDI (FFFF) TDO (B31F);
+SDR 16 TDI (FFFF) TDO (3333);
+SDR 16 TDI (FFFF) TDO (67CC);
+SDR 16 TDI (FFFF) TDO (ECE7);
+SDR 16 TDI (FFFF) TDO (B999);
+SDR 16 TDI (FFFF) TDO (B97F);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (6957);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (B777);
+SDR 16 TDI (FFFF) TDO (74AB);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (7BBB);
+SDR 16 TDI (FFFF) TDO (B74A);
+SDR 16 TDI (FFFF) TDO (BBBB);
+SDR 16 TDI (FFFF) TDO (77DD);
+SDR 16 TDI (FFFF) TDO (CDCA);
+SDR 16 TDI (FFFF) TDO (B1C9);
+SDR 16 TDI (FFFF) TDO (99FF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FDF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFE);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FF7F);
+SDR 16 TDI (FFFF) TDO (B7FF);
+SDR 16 TDI (FFFF) TDO (F37F);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FDF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BDFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFD);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFD);
+SDR 16 TDI (FFFF) TDO (DFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BBFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FEE);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFD);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BBFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFB);
+SDR 16 TDI (FFFF) TDO (FEF7);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (BDFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EFB);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFF7);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EED);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FDD);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FF7);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFEF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (A55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BDFF);
+SDR 16 TDI (FFFF) TDO (FFF4);
+SDR 16 TDI (FFFF) TDO (79FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (A55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFDD);
+SDR 16 TDI (FFFF) TDO (5574);
+SDR 16 TDI (FFFF) TDO (7BFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AAAF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFB);
+SDR 16 TDI (FFFF) TDO (77FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (BFDF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFF5);
+SDR 16 TDI (FFFF) TDO (DDFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (BFBE);
+SDR 16 TDI (FFFF) TDO (EEFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BAFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFD1);
+SDR 16 TDI (FFFF) TDO (99EE);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BDFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (A55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FDF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFE);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFCF);
+SDR 16 TDI (FFFF) TDO (B002);
+SDR 16 TDI (FFFF) TDO (00F5);
+SDR 16 TDI (FFFF) TDO (67FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FBF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFD);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFCF);
+SDR 16 TDI (FFFF) TDO (A81A);
+SDR 16 TDI (FFFF) TDO (00FF);
+SDR 16 TDI (FFFF) TDO (77FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFCF);
+SDR 16 TDI (FFFF) TDO (B800);
+SDR 16 TDI (FFFF) TDO (4075);
+SDR 16 TDI (FFFF) TDO (6FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFCF);
+SDR 16 TDI (FFFF) TDO (B800);
+SDR 16 TDI (FFFF) TDO (407F);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (3333);
+SDR 16 TDI (FFFF) TDO (63E6);
+SDR 16 TDI (FFFF) TDO (6667);
+SDR 16 TDI (FFFF) TDO (B333);
+SDR 16 TDI (FFFF) TDO (31F3);
+SDR 16 TDI (FFFF) TDO (6666);
+SDR 16 TDI (FFFF) TDO (7999);
+SDR 16 TDI (FFFF) TDO (B31F);
+SDR 16 TDI (FFFF) TDO (3333);
+SDR 16 TDI (FFFF) TDO (67CC);
+SDR 16 TDI (FFFF) TDO (CCE7);
+SDR 16 TDI (FFFF) TDO (BB88);
+SDR 16 TDI (FFFF) TDO (89DE);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (6957);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (B777);
+SDR 16 TDI (FFFF) TDO (74AB);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (7BBB);
+SDR 16 TDI (FFFF) TDO (B74A);
+SDR 16 TDI (FFFF) TDO (BBBB);
+SDR 16 TDI (FFFF) TDO (77DD);
+SDR 16 TDI (FFFF) TDO (DDCA);
+SDR 16 TDI (FFFF) TDO (B1DD);
+SDR 16 TDI (FFFF) TDO (DCFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FDF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFE);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B77F);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BDFF);
+SDR 16 TDI (FFFF) TDO (EFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BBFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FBFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BDFF);
+SDR 16 TDI (FFFF) TDO (F7FF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BEFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFE);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EBF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFB);
+SDR 16 TDI (FFFF) TDO (BEFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FBFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BCFF);
+SDR 16 TDI (FFFF) TDO (EFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (7FD7);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7E69);
+SDR 16 TDI (FFFF) TDO (DBDF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFB);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (DEDF);
+SDR 16 TDI (FFFF) TDO (BFAF);
+SDR 16 TDI (FFFF) TDO (7FD5);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7F9A);
+SDR 16 TDI (FFFF) TDO (FBFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (A55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FEF);
+SDR 16 TDI (FFFF) TDO (DFFF);
+SDR 16 TDI (FFFF) TDO (BEFB);
+SDR 16 TDI (FFFF) TDO (FDF4);
+SDR 16 TDI (FFFF) TDO (75FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FDFF);
+SDR 16 TDI (FFFF) TDO (BDFF);
+SDR 16 TDI (FFFF) TDO (EEFF);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (A55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (F7FF);
+SDR 16 TDI (FFFF) TDO (BFD7);
+SDR 16 TDI (FFFF) TDO (DF75);
+SDR 16 TDI (FFFF) TDO (65FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AAFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFD);
+SDR 16 TDI (FFFF) TDO (DFFF);
+SDR 16 TDI (FFFF) TDO (BEFF);
+SDR 16 TDI (FFFF) TDO (CFFE);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FEF);
+SDR 16 TDI (FFFF) TDO (BD7F);
+SDR 16 TDI (FFFF) TDO (BFFB);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (F76E);
+SDR 16 TDI (FFFF) TDO (BBB7);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (EEBE);
+SDR 16 TDI (FFFF) TDO (BBFF);
+SDR 16 TDI (FFFF) TDO (9FFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BAAF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FCE);
+SDR 16 TDI (FFFF) TDO (916F);
+SDR 16 TDI (FFFF) TDO (BFA1);
+SDR 16 TDI (FFFF) TDO (EE7E);
+SDR 16 TDI (FFFF) TDO (7BFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BC5F);
+SDR 16 TDI (FFFF) TDO (F5FF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (A55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FDF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFE);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FF3);
+SDR 16 TDI (FFFF) TDO (202F);
+SDR 16 TDI (FFFF) TDO (B787);
+SDR 16 TDI (FFFF) TDO (FDF5);
+SDR 16 TDI (FFFF) TDO (6DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FBF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFD);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FF3);
+SDR 16 TDI (FFFF) TDO (200D);
+SDR 16 TDI (FFFF) TDO (B9A7);
+SDR 16 TDI (FFFF) TDO (987E);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7F33);
+SDR 16 TDI (FFFF) TDO (D41F);
+SDR 16 TDI (FFFF) TDO (BD81);
+SDR 16 TDI (FFFF) TDO (DFF5);
+SDR 16 TDI (FFFF) TDO (77FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7F33);
+SDR 16 TDI (FFFF) TDO (D00F);
+SDR 16 TDI (FFFF) TDO (B981);
+SDR 16 TDI (FFFF) TDO (9C7F);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (3333);
+SDR 16 TDI (FFFF) TDO (63E6);
+SDR 16 TDI (FFFF) TDO (6667);
+SDR 16 TDI (FFFF) TDO (B333);
+SDR 16 TDI (FFFF) TDO (31F3);
+SDR 16 TDI (FFFF) TDO (6666);
+SDR 16 TDI (FFFF) TDO (7999);
+SDR 16 TDI (FFFF) TDO (B31F);
+SDR 16 TDI (FFFF) TDO (3333);
+SDR 16 TDI (FFFF) TDO (67EE);
+SDR 16 TDI (FFFF) TDO (C0C7);
+SDR 16 TDI (FFFF) TDO (B993);
+SDR 16 TDI (FFFF) TDO (9DFD);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (6957);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (B777);
+SDR 16 TDI (FFFF) TDO (74AB);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (7BBB);
+SDR 16 TDI (FFFF) TDO (B74A);
+SDR 16 TDI (FFFF) TDO (BBBB);
+SDR 16 TDI (FFFF) TDO (77CC);
+SDR 16 TDI (FFFF) TDO (CCDA);
+SDR 16 TDI (FFFF) TDO (B199);
+SDR 16 TDI (FFFF) TDO (99FB);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FDF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFE);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (F7FF);
+SDR 16 TDI (FFFF) TDO (B7B7);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FEBF);
+SDR 16 TDI (FFFF) TDO (BEFF);
+SDR 16 TDI (FFFF) TDO (DFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFB);
+SDR 16 TDI (FFFF) TDO (EFFF);
+SDR 16 TDI (FFFF) TDO (BDFF);
+SDR 16 TDI (FFFF) TDO (7BFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FD7F);
+SDR 16 TDI (FFFF) TDO (BDFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BF7F);
+SDR 16 TDI (FFFF) TDO (DFFF);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (DFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EFE);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BEFF);
+SDR 16 TDI (FFFF) TDO (DFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BDFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFE);
+SDR 16 TDI (FFFF) TDO (FD5F);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (A55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BF7F);
+SDR 16 TDI (FFFF) TDO (FFF5);
+SDR 16 TDI (FFFF) TDO (6DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (EBFF);
+SDR 16 TDI (FFFF) TDO (BFEF);
+SDR 16 TDI (FFFF) TDO (EFFF);
+SDR 16 TDI (FFFF) TDO (75FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (A55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFE);
+SDR 16 TDI (FFFF) TDO (FEFF);
+SDR 16 TDI (FFFF) TDO (BBBB);
+SDR 16 TDI (FFFF) TDO (BFF5);
+SDR 16 TDI (FFFF) TDO (69FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AAAF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFB);
+SDR 16 TDI (FFFF) TDO (FBFF);
+SDR 16 TDI (FFFF) TDO (BFEF);
+SDR 16 TDI (FFFF) TDO (F7FE);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FBFE);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFD);
+SDR 16 TDI (FFFF) TDO (FDFF);
+SDR 16 TDI (FFFF) TDO (BBDD);
+SDR 16 TDI (FFFF) TDO (DFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFE);
+SDR 16 TDI (FFFF) TDO (DEFF);
+SDR 16 TDI (FFFF) TDO (BFBB);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BAAF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BBFF);
+SDR 16 TDI (FFFF) TDO (FBFE);
+SDR 16 TDI (FFFF) TDO (77FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FF9);
+SDR 16 TDI (FFFF) TDO (F1FF);
+SDR 16 TDI (FFFF) TDO (BD45);
+SDR 16 TDI (FFFF) TDO (C7FF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (A55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FDF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFE);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FF4);
+SDR 16 TDI (FFFF) TDO (0ECB);
+SDR 16 TDI (FFFF) TDO (B659);
+SDR 16 TDI (FFFF) TDO (B9F5);
+SDR 16 TDI (FFFF) TDO (69FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FBF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFD);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FF4);
+SDR 16 TDI (FFFF) TDO (0FCF);
+SDR 16 TDI (FFFF) TDO (A819);
+SDR 16 TDI (FFFF) TDO (A1FF);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FF0);
+SDR 16 TDI (FFFF) TDO (0FCF);
+SDR 16 TDI (FFFF) TDO (BFFE);
+SDR 16 TDI (FFFF) TDO (79F5);
+SDR 16 TDI (FFFF) TDO (6BFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FF0);
+SDR 16 TDI (FFFF) TDO (0FCF);
+SDR 16 TDI (FFFF) TDO (B87E);
+SDR 16 TDI (FFFF) TDO (01FF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (3333);
+SDR 16 TDI (FFFF) TDO (63E6);
+SDR 16 TDI (FFFF) TDO (6667);
+SDR 16 TDI (FFFF) TDO (B333);
+SDR 16 TDI (FFFF) TDO (31F3);
+SDR 16 TDI (FFFF) TDO (6666);
+SDR 16 TDI (FFFF) TDO (7999);
+SDR 16 TDI (FFFF) TDO (B31F);
+SDR 16 TDI (FFFF) TDO (3333);
+SDR 16 TDI (FFFF) TDO (67CC);
+SDR 16 TDI (FFFF) TDO (C8D7);
+SDR 16 TDI (FFFF) TDO (B98B);
+SDR 16 TDI (FFFF) TDO (B9FD);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (6957);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (B777);
+SDR 16 TDI (FFFF) TDO (74AB);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (7BBB);
+SDR 16 TDI (FFFF) TDO (B74A);
+SDR 16 TDI (FFFF) TDO (BBBB);
+SDR 16 TDI (FFFF) TDO (77DC);
+SDR 16 TDI (FFFF) TDO (CDCA);
+SDR 16 TDI (FFFF) TDO (B4D9);
+SDR 16 TDI (FFFF) TDO (99FB);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FDF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFE);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (7EFF);
+SDR 16 TDI (FFFF) TDO (B7FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (BFFB);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BEFF);
+SDR 16 TDI (FFFF) TDO (DFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EFF);
+SDR 16 TDI (FFFF) TDO (FEFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FEFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7BFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BEFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BDFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FD7F);
+SDR 16 TDI (FFFF) TDO (BDFE);
+SDR 16 TDI (FFFF) TDO (CFBF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFBF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B77F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FEF);
+SDR 16 TDI (FFFF) TDO (FDFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FF7);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (BF74);
+SDR 16 TDI (FFFF) TDO (F77F);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (A77F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFD);
+SDR 16 TDI (FFFF) TDO (DFEF);
+SDR 16 TDI (FFFF) TDO (BDDF);
+SDR 16 TDI (FFFF) TDO (5DBF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (ABFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7F7F);
+SDR 16 TDI (FFFF) TDO (B7FF);
+SDR 16 TDI (FFFF) TDO (BBEF);
+SDR 16 TDI (FFFF) TDO (F3BF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FEB);
+SDR 16 TDI (FFFF) TDO (EEFF);
+SDR 16 TDI (FFFF) TDO (BEBF);
+SDR 16 TDI (FFFF) TDO (DFBF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FBD);
+SDR 16 TDI (FFFF) TDO (FBFF);
+SDR 16 TDI (FFFF) TDO (BFFD);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FDDF);
+SDR 16 TDI (FFFF) TDO (BAFE);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BABF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FE7);
+SDR 16 TDI (FFFF) TDO (7EFF);
+SDR 16 TDI (FFFF) TDO (BF75);
+SDR 16 TDI (FFFF) TDO (F77F);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7F18);
+SDR 16 TDI (FFFF) TDO (83FF);
+SDR 16 TDI (FFFF) TDO (BD8B);
+SDR 16 TDI (FFFF) TDO (89DF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (A55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FDF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFE);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFA);
+SDR 16 TDI (FFFF) TDO (FF0B);
+SDR 16 TDI (FFFF) TDO (B6AD);
+SDR 16 TDI (FFFF) TDO (A9FF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FBF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFD);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFA);
+SDR 16 TDI (FFFF) TDO (FF0F);
+SDR 16 TDI (FFFF) TDO (AE80);
+SDR 16 TDI (FFFF) TDO (803F);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7F89);
+SDR 16 TDI (FFFF) TDO (080F);
+SDR 16 TDI (FFFF) TDO (B800);
+SDR 16 TDI (FFFF) TDO (1F9F);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FC3);
+SDR 16 TDI (FFFF) TDO (2C0F);
+SDR 16 TDI (FFFF) TDO (BEA0);
+SDR 16 TDI (FFFF) TDO (1FFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (3333);
+SDR 16 TDI (FFFF) TDO (63E6);
+SDR 16 TDI (FFFF) TDO (6667);
+SDR 16 TDI (FFFF) TDO (B333);
+SDR 16 TDI (FFFF) TDO (31F3);
+SDR 16 TDI (FFFF) TDO (6666);
+SDR 16 TDI (FFFF) TDO (7999);
+SDR 16 TDI (FFFF) TDO (B31F);
+SDR 16 TDI (FFFF) TDO (3333);
+SDR 16 TDI (FFFF) TDO (67CE);
+SDR 16 TDI (FFFF) TDO (C8C7);
+SDR 16 TDI (FFFF) TDO (BB9B);
+SDR 16 TDI (FFFF) TDO (99FF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (6957);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (B777);
+SDR 16 TDI (FFFF) TDO (74AB);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (7BBB);
+SDR 16 TDI (FFFF) TDO (B74A);
+SDR 16 TDI (FFFF) TDO (BBBB);
+SDR 16 TDI (FFFF) TDO (779C);
+SDR 16 TDI (FFFF) TDO (DDCA);
+SDR 16 TDI (FFFF) TDO (B1D9);
+SDR 16 TDI (FFFF) TDO (9CBB);
+SDR 16 TDI (FFFF) TDO (777F);
+SDR 16 TDI (FFFF) TDO (FFFB);
+SDR 16 TDI (FFFF) TDO (B777);
+SDR 16 TDI (FFFF) TDO (BBBB);
+SDR 16 TDI (FFFF) TDO (7FFB);
+SDR 16 TDI (FFFF) TDO (BBFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FDF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFE);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (EF7F);
+SDR 16 TDI (FFFF) TDO (B7BF);
+SDR 16 TDI (FFFF) TDO (FFF7);
+SDR 16 TDI (FFFF) TDO (6EEF);
+SDR 16 TDI (FFFF) TDO (FFDD);
+SDR 16 TDI (FFFF) TDO (BBBF);
+SDR 16 TDI (FFFF) TDO (F777);
+SDR 16 TDI (FFFF) TDO (6FDD);
+SDR 16 TDI (FFFF) TDO (DFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FEF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BF7F);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EF6);
+SDR 16 TDI (FFFF) TDO (FF5F);
+SDR 16 TDI (FFFF) TDO (BDBF);
+SDR 16 TDI (FFFF) TDO (FF7F);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFD);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FF7);
+SDR 16 TDI (FFFF) TDO (FFAF);
+SDR 16 TDI (FFFF) TDO (BB7F);
+SDR 16 TDI (FFFF) TDO (FEFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FDF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (DFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFD);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BEFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EFF);
+SDR 16 TDI (FFFF) TDO (FEFF);
+SDR 16 TDI (FFFF) TDO (BBFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (DFFD);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BEFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FDF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFBF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FF7F);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BDFF);
+SDR 16 TDI (FFFF) TDO (BBFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFE);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (DDFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFE);
+SDR 16 TDI (FFFF) TDO (FDDE);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (F7F7);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FDF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFBF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FF7F);
+SDR 16 TDI (FFFF) TDO (A55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (6FFF);
+SDR 16 TDI (FFFF) TDO (DFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FAA7);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FBA);
+SDR 16 TDI (FFFF) TDO (AAEF);
+SDR 16 TDI (FFFF) TDO (BABA);
+SDR 16 TDI (FFFF) TDO (ABFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (F7EF);
+SDR 16 TDI (FFFF) TDO (7FFE);
+SDR 16 TDI (FFFF) TDO (FF7F);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFF7);
+SDR 16 TDI (FFFF) TDO (A55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FDB);
+SDR 16 TDI (FFFF) TDO (FBFF);
+SDR 16 TDI (FFFF) TDO (BFEF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (76FF);
+SDR 16 TDI (FFFF) TDO (FEFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FA97);
+SDR 16 TDI (FFFF) TDO (AAEF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FF77);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FAF);
+SDR 16 TDI (FFFF) TDO (AFAF);
+SDR 16 TDI (FFFF) TDO (BAFA);
+SDR 16 TDI (FFFF) TDO (EFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FEFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (F77F);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFE);
+SDR 16 TDI (FFFF) TDO (FEFF);
+SDR 16 TDI (FFFF) TDO (BFCF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FEF);
+SDR 16 TDI (FFFF) TDO (FDFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FBD);
+SDR 16 TDI (FFFF) TDO (B3BF);
+SDR 16 TDI (FFFF) TDO (BEF6);
+SDR 16 TDI (FFFF) TDO (EFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (77FF);
+SDR 16 TDI (FFFF) TDO (EF7F);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BAFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FE7);
+SDR 16 TDI (FFFF) TDO (CCEF);
+SDR 16 TDI (FFFF) TDO (B989);
+SDR 16 TDI (FFFF) TDO (DDFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFE);
+SDR 16 TDI (FFFF) TDO (7FDE);
+SDR 16 TDI (FFFF) TDO (F5BF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7F5A);
+SDR 16 TDI (FFFF) TDO (7FDF);
+SDR 16 TDI (FFFF) TDO (BF7F);
+SDR 16 TDI (FFFF) TDO (77FF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (EF7F);
+SDR 16 TDI (FFFF) TDO (7DFF);
+SDR 16 TDI (FFFF) TDO (FEDF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (A55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FDF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFE);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FF3);
+SDR 16 TDI (FFFF) TDO (CE4B);
+SDR 16 TDI (FFFF) TDO (B2B8);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FBFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (8180);
+SDR 16 TDI (FFFF) TDO (6FB0);
+SDR 16 TDI (FFFF) TDO (1073);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FA57);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FBF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFD);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FF3);
+SDR 16 TDI (FFFF) TDO (CE4F);
+SDR 16 TDI (FFFF) TDO (AAF8);
+SDR 16 TDI (FFFF) TDO (07FF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (F7FF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (8180);
+SDR 16 TDI (FFFF) TDO (6FF0);
+SDR 16 TDI (FFFF) TDO (1133);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFBF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFE7);
+SDR 16 TDI (FFFF) TDO (B55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FF3);
+SDR 16 TDI (FFFF) TDO (500F);
+SDR 16 TDI (FFFF) TDO (BB7A);
+SDR 16 TDI (FFFF) TDO (307F);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (8180);
+SDR 16 TDI (FFFF) TDO (67F0);
+SDR 16 TDI (FFFF) TDO (10B3);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FABF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FEB);
+SDR 16 TDI (FFFF) TDO (8D0F);
+SDR 16 TDI (FFFF) TDO (9A78);
+SDR 16 TDI (FFFF) TDO (487F);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (9FFF);
+SDR 16 TDI (FFFF) TDO (8180);
+SDR 16 TDI (FFFF) TDO (6FF0);
+SDR 16 TDI (FFFF) TDO (1133);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFBF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (3333);
+SDR 16 TDI (FFFF) TDO (63E6);
+SDR 16 TDI (FFFF) TDO (6667);
+SDR 16 TDI (FFFF) TDO (B333);
+SDR 16 TDI (FFFF) TDO (31F3);
+SDR 16 TDI (FFFF) TDO (6666);
+SDR 16 TDI (FFFF) TDO (7999);
+SDR 16 TDI (FFFF) TDO (B31F);
+SDR 16 TDI (FFFF) TDO (3333);
+SDR 16 TDI (FFFF) TDO (67CC);
+SDR 16 TDI (FFFF) TDO (EEC7);
+SDR 16 TDI (FFFF) TDO (9B99);
+SDR 16 TDI (FFFF) TDO (BBE6);
+SDR 16 TDI (FFFF) TDO (6CCC);
+SDR 16 TDI (FFFF) TDO (7CCC);
+SDR 16 TDI (FFFF) TDO (999E);
+SDR 16 TDI (FFFF) TDO (7667);
+SDR 16 TDI (FFFF) TDO (6FCD);
+SDR 16 TDI (FFFF) TDO (E88F);
+SDR 16 TDI (FFFF) TDO (A666);
+SDR 16 TDI (FFFF) TDO (63E6);
+SDR 16 TDI (FFFF) TDO (6CCC);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (6957);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (B777);
+SDR 16 TDI (FFFF) TDO (74AB);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (7BBB);
+SDR 16 TDI (FFFF) TDO (B74A);
+SDR 16 TDI (FFFF) TDO (BBBB);
+SDR 16 TDI (FFFF) TDO (77D9);
+SDR 16 TDI (FFFF) TDO (CCD2);
+SDR 16 TDI (FFFF) TDO (B1CD);
+SDR 16 TDI (FFFF) TDO (99EE);
+SDR 16 TDI (FFFF) TDO (7DDD);
+SDR 16 TDI (FFFF) TDO (2AEE);
+SDR 16 TDI (FFFF) TDO (9DDE);
+SDR 16 TDI (FFFF) TDO (E666);
+SDR 16 TDI (FFFF) TDO (6A8C);
+SDR 16 TDI (FFFF) TDO (CCCF);
+SDR 16 TDI (FFFF) TDO (AEEE);
+SDR 16 TDI (FFFF) TDO (E957);
+SDR 16 TDI (FFFF) TDO (6EEE);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FDF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFE);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FEF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (B7FB);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FBFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (BFBB);
+SDR 16 TDI (FFFF) TDO (7FBF);
+SDR 16 TDI (FFFF) TDO (9BBF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FF7F);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFE);
+SDR 16 TDI (FFFF) TDO (7FEF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BDFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FF7F);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (9FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (9FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (9FFF);
+SDR 16 TDI (FFFF) TDO (FFFE);
+SDR 16 TDI (FFFF) TDO (7FDF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFF7);
+SDR 16 TDI (FFFF) TDO (8FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (9FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (9BFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (9FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFF7);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (9FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FEFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (9FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FBFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EFF);
+SDR 16 TDI (FFFF) TDO (B6FF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FF7F);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FBFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FF7F);
+SDR 16 TDI (FFFF) TDO (9FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (9FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EFF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (9FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (9FFF);
+SDR 16 TDI (FFFF) TDO (FFFE);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (9FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (9FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FD7F);
+SDR 16 TDI (FFFF) TDO (9FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (9FFF);
+SDR 16 TDI (FFFF) TDO (FBFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (9FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (9FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFBF);
+SDR 16 TDI (FFFF) TDO (A55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (9FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (9FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FDF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FAA7);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (9FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (9FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFF7);
+SDR 16 TDI (FFFF) TDO (A55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FEFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FA97);
+SDR 16 TDI (FFFF) TDO (AAFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (EFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FF57);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FF7F);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FF7F);
+SDR 16 TDI (FFFF) TDO (5FEF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BAFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FF5F);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (EFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FEFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (A55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FDF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFE);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (CFFF);
+SDR 16 TDI (FFFF) TDO (B7FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FBFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (F9FF);
+SDR 16 TDI (FFFF) TDO (5F83);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FAA7);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FBF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFD);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (CFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (F7FF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (F9FF);
+SDR 16 TDI (FFFF) TDO (7F43);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFBF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFF7);
+SDR 16 TDI (FFFF) TDO (B55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (CFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (F87F);
+SDR 16 TDI (FFFF) TDO (7FC3);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FAAF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (CFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (F87F);
+SDR 16 TDI (FFFF) TDO (5FC3);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (3333);
+SDR 16 TDI (FFFF) TDO (63E6);
+SDR 16 TDI (FFFF) TDO (6667);
+SDR 16 TDI (FFFF) TDO (B333);
+SDR 16 TDI (FFFF) TDO (31F3);
+SDR 16 TDI (FFFF) TDO (4666);
+SDR 16 TDI (FFFF) TDO (7999);
+SDR 16 TDI (FFFF) TDO (B31F);
+SDR 16 TDI (FFFF) TDO (3333);
+SDR 16 TDI (FFFF) TDO (67CC);
+SDR 16 TDI (FFFF) TDO (ECC7);
+SDR 16 TDI (FFFF) TDO (B999);
+SDR 16 TDI (FFFF) TDO (99E6);
+SDR 16 TDI (FFFF) TDO (6CCC);
+SDR 16 TDI (FFFF) TDO (7CCC);
+SDR 16 TDI (FFFF) TDO (B99E);
+SDR 16 TDI (FFFF) TDO (6366);
+SDR 16 TDI (FFFF) TDO (47DC);
+SDR 16 TDI (FFFF) TDO (CCCF);
+SDR 16 TDI (FFFF) TDO (A666);
+SDR 16 TDI (FFFF) TDO (63E6);
+SDR 16 TDI (FFFF) TDO (6CCC);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (6957);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (B777);
+SDR 16 TDI (FFFF) TDO (74AB);
+SDR 16 TDI (FFFF) TDO (5777);
+SDR 16 TDI (FFFF) TDO (7BBB);
+SDR 16 TDI (FFFF) TDO (B74A);
+SDR 16 TDI (FFFF) TDO (BBBB);
+SDR 16 TDI (FFFF) TDO (77DD);
+SDR 16 TDI (FFFF) TDO (CDD2);
+SDR 16 TDI (FFFF) TDO (B5DD);
+SDR 16 TDI (FFFF) TDO (DDEE);
+SDR 16 TDI (FFFF) TDO (7DDD);
+SDR 16 TDI (FFFF) TDO (2AEE);
+SDR 16 TDI (FFFF) TDO (BDDE);
+SDR 16 TDI (FFFF) TDO (EC6E);
+SDR 16 TDI (FFFF) TDO (5A8E);
+SDR 16 TDI (FFFF) TDO (EEEF);
+SDR 16 TDI (FFFF) TDO (AEEE);
+SDR 16 TDI (FFFF) TDO (E957);
+SDR 16 TDI (FFFF) TDO (6EEE);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FDF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFE);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B7FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FBFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FBFF);
+SDR 16 TDI (FFFF) TDO (7FBB);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFEF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FEF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFEF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FDF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFF7);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFF7);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFDF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (9FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (A55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FAA7);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFF7);
+SDR 16 TDI (FFFF) TDO (A55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FAA7);
+SDR 16 TDI (FFFF) TDO (AAAF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FD57);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BAEF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FF5F);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FDF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFE);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B7FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FBFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FBF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FAA7);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FBF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFD);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (F7FF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5F7F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFBF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFF7);
+SDR 16 TDI (FFFF) TDO (B55F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FAAF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BEFF);
+SDR 16 TDI (FFFF) TDO (3333);
+SDR 16 TDI (FFFF) TDO (63E6);
+SDR 16 TDI (FFFF) TDO (6667);
+SDR 16 TDI (FFFF) TDO (B333);
+SDR 16 TDI (FFFF) TDO (31F3);
+SDR 16 TDI (FFFF) TDO (6666);
+SDR 16 TDI (FFFF) TDO (7999);
+SDR 16 TDI (FFFF) TDO (B31F);
+SDR 16 TDI (FFFF) TDO (3333);
+SDR 16 TDI (FFFF) TDO (67CC);
+SDR 16 TDI (FFFF) TDO (CCC7);
+SDR 16 TDI (FFFF) TDO (B999);
+SDR 16 TDI (FFFF) TDO (99E6);
+SDR 16 TDI (FFFF) TDO (6CCC);
+SDR 16 TDI (FFFF) TDO (7CCC);
+SDR 16 TDI (FFFF) TDO (B99E);
+SDR 16 TDI (FFFF) TDO (6666);
+SDR 16 TDI (FFFF) TDO (47CC);
+SDR 16 TDI (FFFF) TDO (CCCF);
+SDR 16 TDI (FFFF) TDO (A666);
+SDR 16 TDI (FFFF) TDO (63E6);
+SDR 16 TDI (FFFF) TDO (6CCC);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (6957);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (B777);
+SDR 16 TDI (FFFF) TDO (74AB);
+SDR 16 TDI (FFFF) TDO (7777);
+SDR 16 TDI (FFFF) TDO (7BBB);
+SDR 16 TDI (FFFF) TDO (B74A);
+SDR 16 TDI (FFFF) TDO (BBBB);
+SDR 16 TDI (FFFF) TDO (77DD);
+SDR 16 TDI (FFFF) TDO (DDD2);
+SDR 16 TDI (FFFF) TDO (B5DD);
+SDR 16 TDI (FFFF) TDO (DDEE);
+SDR 16 TDI (FFFF) TDO (7DDD);
+SDR 16 TDI (FFFF) TDO (2AEE);
+SDR 16 TDI (FFFF) TDO (BDDE);
+SDR 16 TDI (FFFF) TDO (EEEE);
+SDR 16 TDI (FFFF) TDO (52AE);
+SDR 16 TDI (FFFF) TDO (EEEF);
+SDR 16 TDI (FFFF) TDO (AEEE);
+SDR 16 TDI (FFFF) TDO (E957);
+SDR 16 TDI (FFFF) TDO (6EEE);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FDF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFE);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (B7FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FBFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FBF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (DFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (9FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFF7);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FDFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BDFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFF7);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFF7);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (6FEF);
+SDR 16 TDI (FFFF) TDO (FFBF);
+SDR 16 TDI (FFFF) TDO (BFEB);
+SDR 16 TDI (FFFF) TDO (FBFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (76EF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFF7);
+SDR 16 TDI (FFFF) TDO (FDFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFBF);
+SDR 16 TDI (FFFF) TDO (BFFB);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (BFDF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FBF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFB);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BF7F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFB);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFF7);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (DFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFBF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FBF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFD);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BEFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7EFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFDF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFD);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (77FF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7BFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFB);
+SDR 16 TDI (FFFF) TDO (FDFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFE);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (D7FF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BEFF);
+SDR 16 TDI (FFFF) TDO (FFFD);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFEF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FDFF);
+SDR 16 TDI (FFFF) TDO (BFFD);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFB);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FEEE);
+SDR 16 TDI (FFFF) TDO (7FFD);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (BFEE);
+SDR 16 TDI (FFFF) TDO (FFFD);
+SDR 16 TDI (FFFF) TDO (7B3F);
+SDR 16 TDI (FFFF) TDO (FFF3);
+SDR 16 TDI (FFFF) TDO (AEFF);
+SDR 16 TDI (FFFF) TDO (DDDF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (77BB);
+SDR 16 TDI (FFFF) TDO (BECE);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7B9B);
+SDR 16 TDI (FFFF) TDO (FF77);
+SDR 16 TDI (FFFF) TDO (AFFF);
+SDR 16 TDI (FFFF) TDO (FCDC);
+SDR 16 TDI (FFFF) TDO (5FF6);
+SDR 16 TDI (FFFF) TDO (77FF);
+SDR 16 TDI (FFFF) TDO (BFDC);
+SDR 16 TDI (FFFF) TDO (DFFF);
+SDR 16 TDI (FFFF) TDO (737F);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (5FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFC);
+SDR 16 TDI (FFFF) TDO (F9E7);
+SDR 16 TDI (FFFF) TDO (79F3);
+SDR 16 TDI (FFFF) TDO (CFFE);
+SDR 16 TDI (FFFF) TDO (AF9E);
+SDR 16 TDI (FFFF) TDO (7CF9);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFCF);
+SDR 16 TDI (FFFF) TDO (A7CF);
+SDR 16 TDI (FFFF) TDO (9E7F);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (F9F3);
+SDR 16 TDI (FFFF) TDO (BFF3);
+SDR 16 TDI (FFFF) TDO (FF9F);
+SDR 16 TDI (FFFF) TDO (7F9F);
+SDR 16 TDI (FFFF) TDO (3E79);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (F3FF);
+SDR 16 TDI (FFFF) TDO (73FF);
+SDR 16 TDI (FFFF) TDO (9FFC);
+SDR 16 TDI (FFFF) TDO (BFFC);
+SDR 16 TDI (FFFF) TDO (F9F3);
+SDR 16 TDI (FFFF) TDO (79FF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (7FFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (BFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SIR 10 TDI (203);
+RUNTEST 4 TCK;
+SDR 14 TDI (0001);
+SIR 10 TDI (205);
+RUNTEST 4 TCK;
+SDR 16 TDI (FFFF) TDO (FFFF) MASK (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SDR 16 TDI (FFFF) TDO (FFFF);
+SIR 10 TDI (203);
+RUNTEST 4 TCK;
+SDR 14 TDI (0000);
+SIR 10 TDI (2F4);
+RUNTEST 4 TCK;
+SDR 16 TDI (7BFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (FFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (BFFF);
+RUNTEST 10 TCK;
+SDR 16 TDI (F9FF);
+RUNTEST 10 TCK;
+SIR 10 TDI (201);
+RUNTEST 103 TCK;
+SIR 10 TDI (3FF);
+RUNTEST 100 TCK;
+STATE IDLE;
diff --git a/rtl/make.bat b/rtl/make.bat
new file mode 100644 (file)
index 0000000..40da913
--- /dev/null
@@ -0,0 +1,33 @@
+set quartus_bin_path=C:\intelFPGA_lite\20.1\quartus\bin64
+set piaddress=192.168.1.144
+
+%quartus_bin_path%\quartus_sh --flow compile pistorm
+if %errorlevel% neq 0 GOTO ERRORCOMPILE
+
+%quartus_bin_path%\quartus_cpf -c -q 100KHz -g 3.3 -n p output_files\pistorm.pof bitstream.svf
+if %errorlevel% neq 0 GOTO ERRORSVF
+
+echo y | pscp -l pi -pw raspberry -P 22 bitstream.svf %piaddress%:./pistorm/bitstream.svf
+if %errorlevel% neq 0 GOTO ERRORSCP
+
+echo y | plink -l pi -pw raspberry -P 22 %piaddress% "cd pistorm && ./nprog.sh"
+if %errorlevel% neq 0 GOTO ERRORPROG
+
+goto done
+
+:ERRORCOMPILE
+echo "ERROR COMPILE"
+goto done
+
+:ERRORSVF
+echo "ERROR SVF"
+goto done
+
+:ERRORSCP
+echo "ERROR SCP"
+goto done
+
+:ERRORPROG
+echo "ERROR PROGRAMM"
+
+:DONE
diff --git a/rtl/pistorm.qpf b/rtl/pistorm.qpf
new file mode 100644 (file)
index 0000000..a596844
--- /dev/null
@@ -0,0 +1,31 @@
+# -------------------------------------------------------------------------- #
+#
+# Copyright (C) 2020  Intel Corporation. All rights reserved.
+# Your use of Intel Corporation's design tools, logic functions 
+# and other software and tools, and any partner logic 
+# functions, and any output files from any of the foregoing 
+# (including device programming or simulation files), and any 
+# associated documentation or information are expressly subject 
+# to the terms and conditions of the Intel Program License 
+# Subscription Agreement, the Intel Quartus Prime License Agreement,
+# the Intel FPGA IP License Agreement, or other applicable license
+# agreement, including, without limitation, that your use is for
+# the sole purpose of programming logic devices manufactured by
+# Intel and sold by Intel or its authorized distributors.  Please
+# refer to the applicable agreement for further details, at
+# https://fpgasoftware.intel.com/eula.
+#
+# -------------------------------------------------------------------------- #
+#
+# Quartus Prime
+# Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition
+# Date created = 12:34:25  December 20, 2020
+#
+# -------------------------------------------------------------------------- #
+
+QUARTUS_VERSION = "20.1"
+DATE = "12:34:25  December 20, 2020"
+
+# Revisions
+
+PROJECT_REVISION = "pistorm"
diff --git a/rtl/pistorm.qsf b/rtl/pistorm.qsf
new file mode 100644 (file)
index 0000000..14206f9
--- /dev/null
@@ -0,0 +1,124 @@
+# -------------------------------------------------------------------------- #
+#
+# Copyright (C) 2020  Intel Corporation. All rights reserved.
+# Your use of Intel Corporation's design tools, logic functions 
+# and other software and tools, and any partner logic 
+# functions, and any output files from any of the foregoing 
+# (including device programming or simulation files), and any 
+# associated documentation or information are expressly subject 
+# to the terms and conditions of the Intel Program License 
+# Subscription Agreement, the Intel Quartus Prime License Agreement,
+# the Intel FPGA IP License Agreement, or other applicable license
+# agreement, including, without limitation, that your use is for
+# the sole purpose of programming logic devices manufactured by
+# Intel and sold by Intel or its authorized distributors.  Please
+# refer to the applicable agreement for further details, at
+# https://fpgasoftware.intel.com/eula.
+#
+# -------------------------------------------------------------------------- #
+#
+# Quartus Prime
+# Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition
+# Date created = 12:34:25  December 20, 2020
+#
+# -------------------------------------------------------------------------- #
+#
+# Notes:
+#
+# 1) The default values for assignments are stored in the file:
+#              pistorm_assignment_defaults.qdf
+#    If this file doesn't exist, see file:
+#              assignment_defaults.qdf
+#
+# 2) Altera recommends that you do not modify this file. This
+#    file is updated automatically by the Quartus Prime software
+#    and any changes you make may be lost or overwritten.
+#
+# -------------------------------------------------------------------------- #
+
+
+set_global_assignment -name FAMILY "MAX II"
+set_global_assignment -name DEVICE EPM570T100C5
+set_global_assignment -name TOP_LEVEL_ENTITY pistorm
+set_global_assignment -name ORIGINAL_QUARTUS_VERSION 20.1.1
+set_global_assignment -name PROJECT_CREATION_TIME_DATE "12:34:25  DECEMBER 20, 2020"
+set_global_assignment -name LAST_QUARTUS_VERSION "20.1.1 Lite Edition"
+set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
+set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
+set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
+set_global_assignment -name DEVICE_FILTER_PACKAGE TQFP
+set_global_assignment -name DEVICE_FILTER_PIN_COUNT 100
+set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 5
+set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR "-1"
+set_global_assignment -name POWER_EXT_SUPPLY_VOLTAGE_TO_REGULATOR 3.3V
+
+set_location_assignment PIN_12 -to PI_CLK
+set_location_assignment PIN_34 -to PI_UNUSED
+set_location_assignment PIN_28 -to PI_A[1]
+set_location_assignment PIN_27 -to PI_A[0]
+set_location_assignment PIN_2 -to PI_D[0]
+set_location_assignment PIN_3 -to PI_D[1]
+set_location_assignment PIN_4 -to PI_D[2]
+set_location_assignment PIN_5 -to PI_D[3]
+set_location_assignment PIN_6 -to PI_D[4]
+set_location_assignment PIN_7 -to PI_D[5]
+set_location_assignment PIN_26 -to PI_D[6]
+set_location_assignment PIN_21 -to PI_D[7]
+set_location_assignment PIN_17 -to PI_D[8]
+set_location_assignment PIN_16 -to PI_D[9]
+set_location_assignment PIN_20 -to PI_D[10]
+set_location_assignment PIN_8 -to PI_D[11]
+set_location_assignment PIN_15 -to PI_D[12]
+set_location_assignment PIN_14 -to PI_D[13]
+set_location_assignment PIN_19 -to PI_D[14]
+set_location_assignment PIN_18 -to PI_D[15]
+set_location_assignment PIN_30 -to PI_TXN_IN_PROGRESS
+set_location_assignment PIN_33 -to PI_IPL_ZERO
+set_location_assignment PIN_35 -to PI_RD
+set_location_assignment PIN_29 -to PI_WR
+
+set_location_assignment PIN_44 -to LTCH_A_0
+set_location_assignment PIN_42 -to LTCH_A_8
+set_location_assignment PIN_47 -to LTCH_A_16
+set_location_assignment PIN_48 -to LTCH_A_24
+set_location_assignment PIN_43 -to LTCH_A_OE_n
+set_location_assignment PIN_50 -to LTCH_D_RD_U
+set_location_assignment PIN_49 -to LTCH_D_RD_L
+set_location_assignment PIN_38 -to LTCH_D_RD_OE_n
+set_location_assignment PIN_36 -to LTCH_D_WR_U
+set_location_assignment PIN_40 -to LTCH_D_WR_L
+set_location_assignment PIN_41 -to LTCH_D_WR_OE_n
+
+set_location_assignment PIN_62 -to M68K_CLK
+set_location_assignment PIN_52 -to M68K_VMA_n
+set_location_assignment PIN_53 -to M68K_E
+set_location_assignment PIN_54 -to M68K_VPA_n
+set_location_assignment PIN_55 -to M68K_BERR_n
+set_location_assignment PIN_56 -to M68K_IPL_n[0]
+set_location_assignment PIN_57 -to M68K_FC[2]
+set_location_assignment PIN_58 -to M68K_IPL_n[1]
+set_location_assignment PIN_61 -to M68K_IPL_n[2]
+set_location_assignment PIN_64 -to M68K_FC[1]
+set_location_assignment PIN_66 -to M68K_FC[0]
+set_location_assignment PIN_67 -to M68K_RESET_n
+set_location_assignment PIN_68 -to M68K_HALT_n
+set_location_assignment PIN_69 -to M68K_BR_n
+set_location_assignment PIN_70 -to M68K_BGACK_n
+set_location_assignment PIN_71 -to M68K_BG_n
+set_location_assignment PIN_72 -to M68K_AS_n
+set_location_assignment PIN_73 -to M68K_UDS_n
+set_location_assignment PIN_74 -to M68K_LDS_n
+set_location_assignment PIN_75 -to M68K_RW
+set_location_assignment PIN_76 -to M68K_DTACK_n
+
+set_global_assignment -name AUTO_RESTART_CONFIGURATION OFF
+set_global_assignment -name ENABLE_OCT_DONE OFF
+set_global_assignment -name ENABLE_CONFIGURATION_PINS OFF
+set_global_assignment -name ENABLE_BOOT_SEL_PIN OFF
+set_global_assignment -name USE_CONFIGURATION_DEVICE ON
+set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED WITH WEAK PULL-UP"
+set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "NO HEAT SINK WITH STILL AIR"
+set_global_assignment -name SDC_FILE pistorm.sdc
+set_global_assignment -name VERILOG_FILE pistorm.v
+set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS ON
+set_global_assignment -name NUM_PARALLEL_PROCESSORS ALL
\ No newline at end of file
diff --git a/rtl/pistorm.qws b/rtl/pistorm.qws
new file mode 100644 (file)
index 0000000..1b024c7
Binary files /dev/null and b/rtl/pistorm.qws differ
diff --git a/rtl/pistorm.sdc b/rtl/pistorm.sdc
new file mode 100644 (file)
index 0000000..6e1648d
--- /dev/null
@@ -0,0 +1,113 @@
+## Generated SDC file "pistorm.sdc"
+
+## Copyright (C) 2020  Intel Corporation. All rights reserved.
+## Your use of Intel Corporation's design tools, logic functions 
+## and other software and tools, and any partner logic 
+## functions, and any output files from any of the foregoing 
+## (including device programming or simulation files), and any 
+## associated documentation or information are expressly subject 
+## to the terms and conditions of the Intel Program License 
+## Subscription Agreement, the Intel Quartus Prime License Agreement,
+## the Intel FPGA IP License Agreement, or other applicable license
+## agreement, including, without limitation, that your use is for
+## the sole purpose of programming logic devices manufactured by
+## Intel and sold by Intel or its authorized distributors.  Please
+## refer to the applicable agreement for further details, at
+## https://fpgasoftware.intel.com/eula.
+
+
+## VENDOR  "Altera"
+## PROGRAM "Quartus Prime"
+## VERSION "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition"
+
+## DATE    "Sun Dec 20 15:18:48 2020"
+
+##
+## DEVICE  "EPM570T100C5"
+##
+
+
+#**************************************************************
+# Time Information
+#**************************************************************
+
+set_time_format -unit ns -decimal_places 3
+
+
+
+#**************************************************************
+# Create Clock
+#**************************************************************
+
+create_clock -name {PI_CLK} -period 5.000 [get_ports {PI_CLK}]
+create_clock -name {M68K_CLK} -period 141.000 [get_ports {M68K_CLK}]
+
+
+#**************************************************************
+# Create Generated Clock
+#**************************************************************
+
+
+
+#**************************************************************
+# Set Clock Latency
+#**************************************************************
+
+
+
+#**************************************************************
+# Set Clock Uncertainty
+#**************************************************************
+
+
+
+#**************************************************************
+# Set Input Delay
+#**************************************************************
+
+
+
+#**************************************************************
+# Set Output Delay
+#**************************************************************
+
+
+
+#**************************************************************
+# Set Clock Groups
+#**************************************************************
+
+
+
+#**************************************************************
+# Set False Path
+#**************************************************************
+
+set_false_path -from [get_ports {M68K_CLK M68K_DTACK_n M68K_VPA_n M68K_IPL_n[*] PI_A[*] PI_D[*] PI_RD PI_WR}]
+set_false_path -to [get_ports {LTCH_A_0 LTCH_A_8 LTCH_A_16 LTCH_A_24 LTCH_A_OE_n LTCH_D_RD_L LTCH_D_RD_OE_n LTCH_D_RD_U LTCH_D_WR_L LTCH_D_WR_OE_n LTCH_D_WR_U M68K_AS_n M68K_BG_n M68K_E M68K_FC[*] M68K_HALT_n M68K_LDS_n M68K_RESET_n M68K_RW M68K_UDS_n M68K_VMA_n PI_TXN_IN_PROGRESS PI_IPL_ZERO PI_D[*]}]
+
+set_false_path -from [get_clocks {M68K_CLK}] -to [get_clocks {PI_CLK}]
+set_false_path -from [get_clocks {PI_CLK}] -to [get_clocks {M68K_CLK}]
+
+#**************************************************************
+# Set Multicycle Path
+#**************************************************************
+
+
+
+#**************************************************************
+# Set Maximum Delay
+#**************************************************************
+
+
+
+#**************************************************************
+# Set Minimum Delay
+#**************************************************************
+
+
+
+#**************************************************************
+# Set Input Transition
+#**************************************************************
+
diff --git a/rtl/pistorm.v b/rtl/pistorm.v
new file mode 100644 (file)
index 0000000..253c51f
--- /dev/null
@@ -0,0 +1,272 @@
+/*
+ * Copyright 2020 Claude Schwarz
+ * Copyright 2020 Niklas Ekström - rewrite in Verilog
+ */
+module pistorm(
+    output reg      PI_TXN_IN_PROGRESS, // GPIO0
+    output reg      PI_IPL_ZERO,        // GPIO1
+    input   [1:0]   PI_A,       // GPIO[3..2]
+    input           PI_CLK,     // GPIO4
+    input           PI_UNUSED,  // GPIO5
+    input           PI_RD,      // GPIO6
+    input           PI_WR,      // GPIO7
+    inout   [15:0]  PI_D,       // GPIO[23..8]
+
+    output reg      LTCH_A_0,
+    output reg      LTCH_A_8,
+    output reg      LTCH_A_16,
+    output reg      LTCH_A_24,
+    output reg      LTCH_A_OE_n,
+    output reg      LTCH_D_RD_U,
+    output reg      LTCH_D_RD_L,
+    output reg      LTCH_D_RD_OE_n,
+    output reg      LTCH_D_WR_U,
+    output reg      LTCH_D_WR_L,
+    output reg      LTCH_D_WR_OE_n,
+
+    input           M68K_CLK,
+    output  reg [2:0] M68K_FC,
+
+    output reg      M68K_AS_n,
+    output reg      M68K_UDS_n,
+    output reg      M68K_LDS_n,
+    output reg      M68K_RW,
+
+    input           M68K_DTACK_n,
+    input           M68K_BERR_n,
+
+    input           M68K_VPA_n,
+    output reg      M68K_E,
+    output reg      M68K_VMA_n,
+
+    input   [2:0]   M68K_IPL_n,
+
+    inout           M68K_RESET_n,
+    inout           M68K_HALT_n,
+
+    input           M68K_BR_n,
+    output reg      M68K_BG_n,
+    input           M68K_BGACK_n
+  );
+
+  wire c200m = PI_CLK;
+  wire c7m = M68K_CLK;
+
+  localparam REG_DATA = 2'd0;
+  localparam REG_ADDR_LO = 2'd1;
+  localparam REG_ADDR_HI = 2'd2;
+  localparam REG_STATUS = 2'd3;
+
+  initial begin
+    PI_TXN_IN_PROGRESS <= 1'b0;
+    PI_IPL_ZERO <= 1'b0;
+
+    M68K_FC <= 3'd0;
+
+    M68K_RW <= 1'b1;
+
+    M68K_E <= 1'b0;
+    M68K_VMA_n <= 1'b1;
+
+    M68K_BG_n <= 1'b1;
+  end
+
+  reg [1:0] rd_sync;
+  reg [1:0] wr_sync;
+
+  always @(posedge c200m) begin
+    rd_sync <= {rd_sync[0], PI_RD};
+    wr_sync <= {wr_sync[0], PI_WR};
+  end
+
+  wire rd_rising = !rd_sync[1] && rd_sync[0];
+  wire wr_rising = !wr_sync[1] && wr_sync[0];
+
+  reg [15:0] data_out;
+  assign PI_D = PI_A == REG_STATUS && PI_RD ? data_out : 16'bz;
+
+  always @(posedge c200m) begin
+    if (rd_rising && PI_A == REG_STATUS) begin
+      data_out <= {ipl, 13'd0};
+    end
+  end
+
+  reg [15:0] status;
+  wire reset_out = !status[1];
+
+  assign M68K_RESET_n = reset_out ? 1'b0 : 1'bz;
+  assign M68K_HALT_n = reset_out ? 1'b0 : 1'bz;
+
+  reg op_req = 1'b0;
+  reg op_rw = 1'b1;
+  reg op_uds_n = 1'b1;
+  reg op_lds_n = 1'b1;
+
+  always @(*) begin
+    LTCH_D_WR_U <= PI_A == REG_DATA && PI_WR;
+    LTCH_D_WR_L <= PI_A == REG_DATA && PI_WR;
+
+    LTCH_A_0 <= PI_A == REG_ADDR_LO && PI_WR;
+    LTCH_A_8 <= PI_A == REG_ADDR_LO && PI_WR;
+
+    LTCH_A_16 <= PI_A == REG_ADDR_HI && PI_WR;
+    LTCH_A_24 <= PI_A == REG_ADDR_HI && PI_WR;
+
+    LTCH_D_RD_OE_n <= !(PI_A == REG_DATA && PI_RD);
+  end
+
+  reg [2:0] s1_sync;
+  reg [2:0] s7_sync;
+
+  always @(posedge c200m) begin
+    s1_sync <= {s1_sync[1:0], S1};
+    s7_sync <= {s7_sync[1:0], S7};
+  end
+
+  wire rising_s1 = !s1_sync[2] && s1_sync[1];
+  wire rising_s7 = !s7_sync[2] && s7_sync[1];
+
+  reg a0;
+
+  always @(posedge c200m) begin
+    if (rising_s1)
+      op_req <= 1'b0;
+
+    if (rising_s7)
+      PI_TXN_IN_PROGRESS <= 1'b0;
+
+    if (wr_rising) begin
+      case (PI_A)
+        REG_ADDR_LO: begin
+          a0 <= PI_D[0];
+          PI_TXN_IN_PROGRESS <= 1'b1;
+        end
+        REG_ADDR_HI: begin
+          op_req <= 1'b1;
+          op_rw <= PI_D[9];
+          op_uds_n <= PI_D[8] ? a0 : 1'b0;
+          op_lds_n <= PI_D[8] ? !a0 : 1'b0;
+        end
+        REG_STATUS: begin
+          status <= PI_D;
+        end
+      endcase
+    end
+  end
+
+  reg [2:0] c7m_sync;
+
+  always @(posedge c200m) begin
+    c7m_sync <= {c7m_sync[1:0], M68K_CLK};
+  end
+
+  wire c7m_rising = !c7m_sync[2] && c7m_sync[1];
+  wire c7m_falling = c7m_sync[2] && !c7m_sync[1];
+
+  reg [2:0] ipl;
+  reg [2:0] ipl_1;
+  reg [2:0] ipl_2;
+
+  always @(posedge c200m) begin
+    if (c7m_falling) begin
+      ipl_1 <= ~M68K_IPL_n;
+      ipl_2 <= ipl_1;
+    end
+
+    if (ipl_2 == ipl_1)
+      ipl <= ipl_2;
+
+    PI_IPL_ZERO <= ipl == 3'd0;
+  end
+
+  reg [3:0] e_counter = 4'd0;
+
+  always @(negedge c7m) begin
+    if (e_counter == 4'd9)
+      e_counter <= 4'd0;
+    else
+      e_counter <= e_counter + 4'd1;
+  end
+
+  always @(negedge c7m) begin
+    if (e_counter == 4'd9)
+      M68K_E <= 1'b0;
+    else if (e_counter == 4'd5)
+      M68K_E <= 1'b1;
+  end
+
+  reg [1:0] state = 2'd0;
+  reg wait_req = 1'b1;
+  reg wait_dtack = 1'b0;
+
+  wire S0 = state == 2'd0 && c7m && !wait_req;
+  wire Sr = state == 2'd0 && wait_req;
+  wire S1 = state == 2'd1 && !c7m;
+  wire S2 = state == 2'd1 && c7m;
+  wire S3 = state == 2'd2 && !c7m && !wait_dtack;
+  wire S4 = state == 2'd2 && c7m && !wait_dtack;
+  wire Sw = state == 2'd2 && wait_dtack;
+  wire S5 = state == 2'd3 && !c7m;
+  wire S6 = state == 2'd3 && c7m;
+  wire S7 = state == 2'd0 && !c7m && !wait_req;
+
+  always @(*) begin
+    LTCH_A_OE_n <= !(S1 || S2 || S3 || S4 || Sw || S5 || S6 || S7);
+    LTCH_D_WR_OE_n <= !(!op_rw && (S3 || S4 || Sw || S5 || S6 || S7));
+
+    LTCH_D_RD_U <= S7;
+    LTCH_D_RD_L <= S7;
+
+    M68K_AS_n <= !(S2 || S3 || S4 || Sw || S5 || S6);
+    M68K_UDS_n <= (op_rw && (S2 || S3)) || (S4 || Sw || S5 || S6) ? op_uds_n : 1'b1;
+    M68K_LDS_n <= (op_rw && (S2 || S3)) || (S4 || Sw || S5 || S6) ? op_lds_n : 1'b1;
+  end
+
+  always @(negedge c7m) begin
+    case (state)
+      2'd0: begin // S0|Sr -> S1|Sr
+        if (op_req_sync) begin
+          wait_req <= 1'b0;
+          state <= state + 2'd1;
+        end
+        else begin
+          wait_req <= 1'b1;
+        end
+      end
+
+      2'd1: begin // S2 -> S3
+        state <= state + 2'd1;
+      end
+
+      2'd2: begin // S4|Sw -> S5|Sw
+        if (!M68K_DTACK_n || (!M68K_VMA_n && e_counter == 4'd8)) begin
+          wait_dtack <= 1'b0;
+          state <= state + 2'd1;
+        end
+        else begin
+          if (!M68K_VPA_n && e_counter == 4'd2) begin
+            M68K_VMA_n <= 1'b0;
+          end
+          wait_dtack <= 1'b1;
+        end
+      end
+
+      2'd3: begin // S6 -> S7
+        M68K_VMA_n <= 1'b1;
+        state <= state + 2'd1;
+      end
+    endcase
+  end
+
+  reg op_req_sync;
+
+  always @(posedge c7m) begin
+    op_req_sync <= op_req;
+
+    case (state)
+      2'd0: M68K_RW <= 1'b1; // S7 -> S0
+      2'd1: M68K_RW <= op_rw; // S1 -> S2
+    endcase
+  end
+
+endmodule